JP2023553604A - セルフアラインされたトップ・ビア - Google Patents
セルフアラインされたトップ・ビア Download PDFInfo
- Publication number
- JP2023553604A JP2023553604A JP2023534155A JP2023534155A JP2023553604A JP 2023553604 A JP2023553604 A JP 2023553604A JP 2023534155 A JP2023534155 A JP 2023534155A JP 2023534155 A JP2023534155 A JP 2023534155A JP 2023553604 A JP2023553604 A JP 2023553604A
- Authority
- JP
- Japan
- Prior art keywords
- liner
- conductive metal
- dielectric material
- spacers
- mandrel
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 claims abstract description 119
- 229910052751 metal Inorganic materials 0.000 claims abstract description 92
- 239000002184 metal Substances 0.000 claims abstract description 91
- 125000006850 spacer group Chemical group 0.000 claims abstract description 75
- 239000003989 dielectric material Substances 0.000 claims abstract description 39
- 239000004065 semiconductor Substances 0.000 claims abstract description 35
- 239000000758 substrate Substances 0.000 claims abstract description 25
- 238000000059 patterning Methods 0.000 claims description 19
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 16
- 239000010948 rhodium Substances 0.000 claims description 11
- 238000005229 chemical vapour deposition Methods 0.000 claims description 8
- 229910052707 ruthenium Inorganic materials 0.000 claims description 7
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 7
- 229910052782 aluminium Inorganic materials 0.000 claims description 6
- 238000000151 deposition Methods 0.000 claims description 6
- 229910052750 molybdenum Inorganic materials 0.000 claims description 6
- 239000010936 titanium Substances 0.000 claims description 6
- 229910052721 tungsten Inorganic materials 0.000 claims description 6
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 claims description 5
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 5
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 5
- 239000010941 cobalt Substances 0.000 claims description 5
- 229910017052 cobalt Inorganic materials 0.000 claims description 5
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 5
- 239000011521 glass Substances 0.000 claims description 5
- 239000011733 molybdenum Substances 0.000 claims description 5
- 229910052703 rhodium Inorganic materials 0.000 claims description 5
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 5
- 239000010937 tungsten Substances 0.000 claims description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 3
- 229910052715 tantalum Inorganic materials 0.000 claims description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 3
- 229910052719 titanium Inorganic materials 0.000 claims description 3
- 230000009969 flowable effect Effects 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 94
- 239000000463 material Substances 0.000 description 27
- 238000005530 etching Methods 0.000 description 26
- 238000004519 manufacturing process Methods 0.000 description 20
- 230000015572 biosynthetic process Effects 0.000 description 13
- 229920002120 photoresistant polymer Polymers 0.000 description 12
- 238000001020 plasma etching Methods 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 239000011810 insulating material Substances 0.000 description 6
- 238000000608 laser ablation Methods 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 6
- 235000012431 wafers Nutrition 0.000 description 6
- 238000000206 photolithography Methods 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 4
- 230000000873 masking effect Effects 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 239000012467 final product Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- 238000003631 wet chemical etching Methods 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910021417 amorphous silicon Inorganic materials 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 239000013067 intermediate product Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- -1 region Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Semiconductor Integrated Circuits (AREA)
Abstract
本発明の実施形態は、半導体デバイスを作製するための方法および結果として生じる構造を含む。ライナ上でマンドレルがパターニングされ、ライナが半導体基板上に位置する。マンドレルの側壁上にスペーサが形成される。ライナの露出表面上でスペーサ間の複数のギャップ内に誘電体材料ラインが形成される。マンドレルが除去される。誘電体材料ラインの少なくとも1つがスペーサ間の複数のギャップの少なくとも1つ内から除去される。導電性金属が各ギャップ内に形成される。金属相互接続ラインおよびビアを形成するために導電性金属がパターニングされる。複数のスペーサおよび残っている誘電体材料ラインが除去される。
Description
本発明は、一般に、半導体構造および作製の分野に関し、より具体的には、トップ・ビアおよび金属相互接続配線構造の作製に関する。
バック・エンド・オブ・ライン(BEOL:back end of line)は、個々のデバイス(トランジスタ、キャパシタ、抵抗など)がウェハ上の配線、メタライゼーション層と相互接続される集積回路作製の部分である。BEOLは、一般に、金属の第1の層がウェハ上に堆積されるときに始まる。BEOLは、コンタクト、絶縁層(誘電体)、金属レベル、およびチップからパッケージへの接続のためのボンディング・サイトを含む。
ビアは、物理的な電子回路における層間の電気的接続であり、1つ以上の近接層の面を通過する。集積回路設計においては、ビアは、異なる層間の導電性接続を許容する絶縁性酸化物層中の小さい開口である。
ダマシン処理は、誘電体が堆積されて、その誘電体が画定されたパターンに従ってエッチングされ、そのパターンに従って金属が充填されて、余剰の金属が化学機械研磨/平坦化プロセス(CMP:chemical-mechanical polishing/planarization)によって除去される加法プロセスである。
本発明の実施形態は、半導体デバイスを作製するための方法および結果として生じる構造を含む。方法は、ライナ上でマンドレルをパターニングすることを含むことができて、ライナが半導体基板上に位置する。方法は、マンドレルの側壁上にスペーサを形成することも含むことができる。方法は、ライナの露出表面上でスペーサ間の複数のギャップ内に誘電体材料ラインを形成することも含むことができる。方法は、マンドレルを除去することも含むことができる。方法は、スペーサ間の複数のギャップの少なくとも1つ内の誘電体材料ラインの少なくとも1つを除去することも含むことができる。方法は、各ギャップ内に導電性金属を形成することも含むことができる。方法は、金属相互接続ラインおよびビアを形成するために導電性金属をパターニングすることも含むことができる。方法は、複数のスペーサおよび残っている誘電体材料ラインを除去することも含むことができる。
本発明の実施形態は、半導体デバイスを作製するための代替の方法および結果として生じる構造を追加的に含んでよい。方法は、ライナの表面上に位置する誘電体層を設けることを含むことができて、ライナが半導体基板の表面上に位置する。方法は、ライナの表面を露出させて、残っている誘電体層から誘電体材料ラインを生成する深さの複数のトレンチを形成することも含むことができる。方法は、誘電体材料ラインの側壁上にスペーサを形成することも含むことができる。方法は、2つのスペーサ間にある誘電体材料ラインの少なくとも1つを除去することも含むことができる。方法は、各存在するギャップ内に導電性金属を形成することも含むことができる。方法は、金属相互接続ラインおよびビアを形成するために導電性金属をパターニングすることも含むことができる。方法は、複数のスペーサおよび残っている誘電体材料ラインを除去することも含むことができる。
本発明の実施形態は、セルフアラインされたバック・エンド・オブ・ライン(BEOL)金属ラインおよびトップ・ビア構造を形成するための方法ならびに結果として生じる構造を記載する。本発明の実施形態は、導電性金属が光学的に不透明であり、アラインメントおよびオーバーレイの難題を引き起こしかねないことを認識する。厚い導電性金属は、ウェハの反りをもたらす高い応力を引き起こしかねない。さらに、本発明の実施形態は、厚い金属の減法エッチングがライン・エッジ・ラフネス不良、マウス・バイティング、または他の課題を引き起こしかねないことを認識する。本発明の実施形態は、減法パターニングと比較したときに、ダマシン技術は、ライン・エッジ・ラフネスを改善しうるが、ライン・ウィグリングの課題を引き起こしかねないことを認識する。従って、本発明の実施形態は、ダマシンを介して金属相互接続ラインを、かつ減法エッチング・プロセスによってビアを形成し、金属相互接続ラインおよびビアの両方がセルフアラインされた構造をもたらすアプローチを記載する。さらに、本発明の実施形態は、利用されるスペーサがスキャフォルドとしての役割を果たし、トップ・ビア形成後には除去されるので、かかるアプローチがスキャフォルドを必要としないことを認識する。
請求される構造および方法の詳細な実施形態が本明細書に開示される。しかしながら、開示される実施形態は、様々な形態で具現されてよい請求される構造および方法を単に例示するに過ぎないことを理解すべきである。加えて、様々な実施形態に関連して与えられる各々の例は、例示的であり、制限的ではないことが意図される。さらに、図は、必ずしも縮尺通りではなく、特定の構成要素の詳細を示すためにいくつかの特徴が誇張されることがある。それゆえに、本明細書に開示される具体的な構造および機能の詳細は、限定的であると解釈すべきではなく、単に本開示の方法および構造を様々に採用することを当業者に教示するための代表的な基礎に過ぎないと解釈すべきである。同様のおよび対応する要素が同様の参照数字によって参照されることも留意されたい。
以下の記載においては、本出願の様々な実施形態の理解を提供するために、特定の構造、構成要素、材料、寸法、処理ステップおよび技術など、多くの具体的な詳細が提示される。しかしながら、本出願の様々な実施形態は、これらの具体的な詳細なしに実行されてよいことが当業者にわかるであろう。他の事例では、本出願を曖昧にすることを避けるために、よく知られている構造または処理ステップは、詳細に記載されていない。
本明細書における「一実施形態(one embodiment)」、「ある実施形態(an embodiment)」、「ある実施形態例(an example embodiment」などへの言及は、記載される実施形態がある特定の特徴、構造、または特性を含みうることを示す。そのうえ、かかる語句は、必ずしも同じ実施形態に言及しているわけではない。さらに、ある特定の特徴、構造、または特性がある実施形態に関連して記載されるときには、明示的に記載されるか否かに係わらず、他の実施形態に関連してかかる特徴、構造、または特性に影響を及ぼすことが当業者の知識の範囲内にあることが述べられる。
以下の記載のために、用語「上側(upper)」、「右(right)」、「左(left)」、「垂直(vertical)」、「水平(horizontal)」、「上(top)」、「底(bottom)」、およびそれらの派生語は、作図中に方向付けられるように、開示される構造および方法に関係するものとする。用語「おおう(overlaying)」、「頂上に(atop)」、「上に置かれた(positioned on)」、または「頂上に置かれた(positioned atop)」は、第1の要素、例えば、第1の構造が第2の要素、例えば、第2の構造上に存在し、介在要素、例えば、界面構造が第1の要素と第2の要素との間に存在してよいことを意味する。用語「直接接触(direct contact)」は、第1の要素、例えば、第1の構造と第2の要素、例えば、第2の構造とが2つの要素の界面における仲介導電、絶縁また半導体層なしに接続されることを意味する。
層、領域または基板としてのある要素が別の要素の「上に(on)」あるか、またはそれを「おおって(over)」いると言及されるときに、その要素は、他の要素の直上にあることができ、または介在要素が存在してもよいことが理解されるであろう。対照的に、ある要素が別の要素の「直上に(directly on)」あるか、またはそれを「直接おおって(directly over)」いると言及されるときには、介在要素が存在しない。ある要素が別の要素の「真下に(beneath)」または「下方に(under)」にあると言及されるときには、その要素は、他の要素の直接真下または下方にあることができ、または介在要素が存在してよいことも理解されるであろう。対照的に、ある要素が別の要素の「直接真下に(directly beneath)」または「直接下方に(directly under)」あると言及されるときには、介在要素が存在しない。
次に、図を参照して本発明が詳細に記載される。
図1は、デバイスを形成する方法の初期段階におけるデバイスの等角図を示す。図1の半導体構造は、半導体基板100の表面上にライナ110、その表面上に誘電体層120、その表面上にハードマスク130を備える半導体材料スタックを含む。
半導体基板100は、シリコン含有材料で構成されてよい。シリコン含有材料は、以下には限定されないが、シリコン、単結晶シリコン、多結晶シリコン、SiGe、単結晶SiGe、多結晶SiGe、または炭素ドープされたシリコン(Si:C)、非晶質シリコン、ならびにそれらの組み合わせおよび多層を含む。半導体基板100は、他の半導体材料、例えば、ゲルマニウム(Ge)、およびIII/V型半導体基板、例えば、ガリウムヒ素(GaAs)のような化合物半導体基板で構成することもできる。半導体基板100は、いくつかの実施形態では、フロント・エンド・オブ・ライン(FEOL:front-end-of-line)、ミドル・オブ・ザ・ライン(MOL:middle-of-the-line)、および/またはBEOL金属を伴うウェハであってよい。一般に、半導体基板100は、表面が平滑な基板である。
ライナ110は、スパッタリング、化学気相堆積(CVD:chemical vapor deposition)、または原子層堆積(ALD:atomic layer deposition)によって形成され、窒化チタン(TiN)または窒化タンタル(TaN)などの導体である。いくつかの実施形態では、ライナ110が他の導電性材料、例えば、アルミニウム(Al)、銅(Cu)、ニッケル(Ni)、コバルト(Co)、ルテニウム(Ru)、チタン(Ti)、タンタル(Ta)、またはそれらの組み合わせからなってよい。
誘電体層120は、ライナ110の上に堆積される。誘電体層120は、一般に、絶縁材料の層である。誘電体層120は、例えば、窒化シリコン(SiN)、炭窒化シリコン(SiCN)、(SiOCN)、(SiBCN)、または当技術分野で知られている他の絶縁材料で構成できる。誘電体層120は、誘電体層120が最終的に生じるデバイスのビアおよび金属ラインを組み合わせた所望の高さに対応する厚さを有するように堆積される。
ハードマスク130は、誘電体層120の上に堆積される。ハードマスクは、エッチ・マスクとして半導体処理に用いられる材料である。ハードマスク130は、例えば、減圧化学気相堆積(LPCVD:low pressure chemical vapor deposition)のようなプロセスを用いて堆積されてよい金属または誘電体材料、例えば、SiN、酸化シリコン、もしくは窒化シリコンと酸化シリコンとの組み合わせなどで構成される。様々な実施形態において、ハードマスク130上に堆積されたフォトレジストの層(図示されない)中にハードマスク130のパターンを画定するために標準的なフォトリソグラフィ・プロセスが用いられる。次に、フォトレジスト層中のパターンで保護されていないエリアからハードマスク130を除去することによって、所望のハードマスク・パターンがハードマスク130中に形成されてよい。ハードマスク130は、例えば、反応性イオン・エッチング(RIE:reactive ion etching)を用いて除去される。RIEは、様々な材料を除去するために、電磁場によって生成された、化学反応性プラズマを用いる。用いられるプラズマのタイプがハードマスク130を構成する材料に依存するであろうこと、あるいは他のエッチ・プロセス、例えば、ウェット化学エッチングまたはレーザ・アブレーションが用いられてよいことを当業者は認識するであろう。示されないが、ハードマスク130は、マンドレル210(図2参照)になる誘電体層120のエリアをハードマスク130がおおうようにパターニングされてよい。
図2は、本発明のある実施形態による、作製ステップの等角図を示す。図2は、誘電体層120からのマンドレル210の形成およびハードマスク130の除去を示す。マンドレルは、スペーサのパターニングに用いられる。スペーサのパターニングは、従来のリソグラフィによって達成できるよりも小さい線幅のフィーチャをパターニングするために採用される技術である。一般に、マンドレル(例えば、マンドレル210)をおおってスペーサ(例えば、スペーサ310、図3参照)が堆積され、マンドレルは、予めパターニングされたフィーチャである。スペーサは、その後、マンドレルをおおっているスペーサ部分がエッチ除去されて、一方では側壁上のスペーサ部分が残るようにエッチ・バックされる。マンドレルは、次に、マンドレルごとに2つのスペーサ(エッジごとに1つ)を残して、除去されてよい。
マンドレル210は、RIE、レーザ・アブレーションなど、エッチング・プロセスによって、または誘電体層120のような材料の部分を選択的に除去するために用いることができる任意のエッチ・プロセスによって形成されてよい。図1を参照して先に記載されたように、ハードマスク130は、マンドレル210の生成の際にマンドレル210をおおうようにパターニングされて、エッチング・プロセス中に利用されてよい。ハードマスク130によって保護されていない誘電体層120の部分のみをエッチング・プロセスが除去して、エッチング・プロセスは、ライナ110で停止する。各々のマンドレルは、所望の金属ラインおよびビアの高さを組み合わせた高さに等しい高さを有する。
いくつかの実施形態では、マンドレル210の形成後に、ハードマスク130が除去される。一般に、ハードマスク130を除去するプロセスは、RIE、レーザ・アブレーションなどのエッチング・プロセス、またはハードマスク130のような、材料の部分を選択的に除去するために用いることができる任意のエッチ・プロセスの使用を伴う。代替の実施形態では、ハードマスク130は、このときに除去されなくてよく、後の金属化学機械研磨/平坦化(CMP)ステップ(図7参照)が行われるまでデバイス上に残る。
図3は、本発明のある実施形態による、作製ステップの等角図を示す。図3は、マンドレル210の露出側面上のスペーサ310の形成を示す。スペーサ310は、金属(例えば、TiN、TaN)、あるいは、例えば、誘電体酸化物、誘電体窒化物、および/または誘電体酸窒化物を含む任意の誘電体スペーサ材料で構成される。いくつかの実施形態では、スペーサ310が二酸化シリコン(SiO2)のような非導電性低容量誘電体材料で構成される。一般に、スペーサ310は、ライナ110とは異なる材料で構成される。スペーサ310を形成するプロセスは、ライナ110およびマンドレル210の露出表面をおおって、窒化シリコンのような、絶縁材料のコンフォーマル層(図示されない)を堆積することを含んでよい。スペーサ310は、例えば、CVD、プラズマ支援化学気相堆積(PECVD:plasma enhanced chemical vapor deposition)、物理気相堆積(PVD:physical vapor deposition)、または他の堆積プロセスを用いて堆積することができる。絶縁層を部分的に除去するために、前方向におけるエッチ・レートが横方向におけるエッチ・レートより大きい、異方性エッチ・プロセスが用いられてよく、それによって、図3に示されるように、マンドレル210の側壁上に位置するスペーサ310を形成する。
図4は、本発明のある実施形態による、作製ステップの等角図を示す。図4は、ライナ110上におけるスペーサ310間の露出ギャップ内の誘電体層410の形成を示す。各々の露出ギャップ内の誘電体層410の部分が複数の誘電体材料ラインを生成してよい。誘電体層120を参照して先に記載されたように、誘電体層410は、一般に、絶縁材料の層であり、例えば、SiN、SiCN、SiOCN、SiBCN、または当技術分野で知られている他の絶縁材料で構成されてよい。いくつかの実施形態では、誘電体層410がlow-κ誘電体材料の層である。low-κは、SiO2と比較して小さい比誘電定数(κ)をもつ材料である。low-κ材料は、例えば、フッ素ドープされたSiO2、有機ケイ酸塩ガラス(OSG:organosilicate glass)、多孔質SiO2、多孔質有機ケイ酸塩ガラス、スピン・オン有機ポリマー誘電体、およびスピン・オン・シリコン・ベースのポリマー誘電体を含む。いくつかの実施形態では、誘電体層410がスピン・オン・グラスである。スピン・オン・グラスは、サブ誘電体表面における狭いギャップを充填するために液状で塗布される層間誘電体材料である。いくつかの実施形態では、誘電体層410が流動性化学気相堆積(fCVD:flowable chemical vapor deposition)またはスピン・オン誘電体法を用いて堆積される。誘電体層410は、所望の高さを超えて誘電体層410を堆積し、次に、マンドレル210の上面が露出されるように誘電体層410の高さを減少させるために、CMPのような、平坦化プロセスを利用することによって生成されてよい。
図5は、本発明のある実施形態による、作製ステップの等角図を示す。図5は、図5に示されるギャップ内に第1のトレンチを生成するためのマンドレル210の除去を示す。マンドレル210は、第1のトレンチを備えるギャップを生成するためにマンドレル210の物理的に露出された部分を除去する際に、スペーサ310および誘電体層410に比べて選択的であるエッチング・プロセスを用いて除去されてよい。利用されるエッチング・プロセスは、ドライ・エッチングまたはウェット・エッチング・プロセスであってよい。
図6は、本発明のある実施形態による、作製ステップの等角図を示す。図6は、第2のトレンチを生成するための誘電体層410の部分的な除去を示す。1つ以上の誘電体材料ラインが除去されてよい。示される実施形態では、誘電体層410の中央および端の部分は、除去されない。除去される誘電体層410の部分は、スペーサ310、誘電体層410、および/またはライナ110の上面上に堆積されたフォトレジストの層(図示されない)中に第2のトレンチの所望の形状を画定するための標準的なフォトリソグラフィ・プロセスの使用によって除去されてよい。様々な実施形態において、第2のトレンチの形成の際に除去されることになる誘電体層のエリアに対応するフォトレジスト層の部分を除去するために標準的なフォトリソグラフィ・プロセスが用いられる。誘電体層410のその部分は、例えば、誘電体層410の所望の部分(単数または複数)を除去するためのRIEのようなドライ・エッチ・プロセスを用いて除去されてよい。誘電体層410のそれらの部分のエッチングの結果として、ライナ110が第2のトレンチとして画定されたエリア内に露出される。
図7は、本発明のある実施形態による、作製ステップの等角図を示す。図7は、第1および第2のトレンチを備えるギャップ内の導電性金属710の形成を示す。導電性金属710は、任意のタイプの導電性金属であってよい。例えば、導電性金属710は、Ru、Co、モリブデン(Mo)、タングステン(W)、Al、またはロジウム(Rh)で構成されてよい。導電性金属710は、例えば、CVD、PECVD、PVD、または他の堆積プロセスを用いて堆積されてよい。導電性金属710は、所望の高さを超えて導電性金属710を堆積し、その後、スペーサ310および誘電体層410の上面が露出されるように導電性金属710の高さを減少させるために、CMPのような、平坦化プロセスを利用することによって生成されてよい。
スペーサ310がTiNからなる、いくつかの実施形態では、他の材料使用と比較してライン・ウィグリングが低減されうる。本発明の実施形態は、より高いモジュラスをもつテンプレートが金属充填後のライン・ウィグリングを軽減できることを認識する。スペーサ310がTiNで構成されたTiNテンプレートは、多くの他の材料より高い約500ギガパスカル(GPa)のモジュラスを有する。
図8は、本発明のある実施形態による、作製ステップの等角図を示す。図8は、減法パターニング・プロセスによるトップ・ビアおよび金属相互接続ラインの形成を示し、それらのプロセス中に導電性金属710の非ビア部分が所望のビア構造のための目標深さまでリセスされる。トップ・ビアの形成は、フォトリソグラフィによる減法パターニング・プロセスを用いて行われてよい。導電性金属710中にビアを形成するためにマスキング・ステップが利用される。かかるマスキングは、フォトレジスト層を堆積し、紫外光を用いてその層をパターニングすることを必然的に伴ってよく、フォトレジストの選択部分のみの除去を可能にして、次に、フォトレジスト・パターンに従って導電性金属710をエッチングする。図8(および以降の同様の図)に示されるビアの配置が最終的な所望のビア構造の実装の詳細に基づいて変化してよいことに留意しなければならない。いくつかの実施形態では、選択的なエッチング・プロセスが利用されてよい。図8に示される実施形態のような、いくつかの実施形態では、誘電体層410がエッチング・プロセスの結果として損傷されることがあり、図8ではこれが減少した誘電体層410の高さによって表現される。
図9は、本発明のある実施形態による、作製ステップの等角図を示す。図9は、スペーサ310および誘電体層410の選択的な除去、ならびに導電性金属710中に形成された1つ以上のビアを含む結果として生じたビア構造および半導体基板100上のライナ110を示す。スペーサ310および誘電体層410は、スペーサ310および誘電体層410のすべてを除去してライナ110を部分的に露出させるためにスペーサ310および/または誘電体層410の物理的に露出された部分を除去する際に、導電性金属710に比べて選択的であるエッチング・プロセスを用いて除去されてよい。利用されるエッチング・プロセスは、ドライ・エッチングまたはウェット・エッチング・プロセスであってよい。
いくつかの実施形態では、ライナ110の露出部分が半導体基板100上に残る。他の実施形態では、ライナ110が導電性金属710の下方にのみ存在するように、ライナ110の露出部分がエッチ除去される(図16参照、導電性金属1310が導電性金属710と同様である)。
結果として生じる構造は、BEOL金属ラインおよびトップ・ビア構造である。その構造は、例えば、ダマシンによって形成された金属ラインと、スペーサ310がトップ・ビアのエッチング・プロセス中にスキャフォルドとしての役割を果たす、減法プロセスによって形成されたトップ・ビアとを含む金属-絶縁体-金属キャパシタであってよい。
図10~16は、トレンチ・エッチで始まる異なる作製プロセスによって形成される本発明の実施形態を示す。
図10によって示される作製プロセスは、先に記載されたように、半導体基板100上にライナ110、その上に誘電体層120、その上にハードマスク130を備える半導体材料スタックを含むデバイスの等角図を示す、図1に最初に示された同じデバイス上で行われる。
図10は、本発明のある実施形態による、作製ステップの等角図を示す。図10は、トレンチを生成するためのハードマスク130および誘電体層120の部分的な除去を示す。トレンチは、RIE、レーザ・アブレーションなど、エッチング・プロセスによって、または誘電体層120のような、材料の部分を選択的に除去するために用いることができる任意のエッチ・プロセスによって形成されてよい。ハードマスク130は、誘電体層120の残っている部分がエッチング・プロセス中に除去されるのを防ぐことによってトレンチの生成を助けるために、エッチング・プロセスを行う前に、図10に示されるようにパターニングされてよい。ハードマスク130によって保護されていない誘電体層120の部分のみをエッチング・プロセスが除去して、エッチング・プロセスは、ライナ110で停止する。トレンチ幅は、最終的な所望の金属ライン幅およびスペーサ厚さの合計に基づいて選択される。いくつかの実施形態では、トレンチ幅が最終的な所望の金属ライン幅の3倍に等しい。誘電体層120の残っている部分が誘電体材料ラインを形成してよい。
図11は、本発明のある実施形態による、作製ステップの等角図を示す。図11は、誘電体層120の露出側面上のスペーサ1110の形成を示す。スペーサ1110は、金属(例えば、TiN、TaN)、あるいは、例えば、誘電体酸化物、誘電体窒化物、および/または誘電体酸窒化物を含む任意の誘電体スペーサ材料で構成される。いくつかの実施形態では、スペーサ1110が二酸化シリコン(SiO2)のような非導電性低容量誘電体材料で構成される。一般に、スペーサ1110は、ライナ110とは異なる材料で構成される。スペーサ1110を形成するプロセスは、ライナ110およびマンドレル誘電体層120の露出表面をおおって、窒化シリコンのような、絶縁材料のコンフォーマル層(図示されない)を堆積することを含んでよい。スペーサ1110は、例えば、CVD、プラズマ支援化学気相堆積(PECVD)、物理気相堆積(PVD)、または他の堆積プロセスを用いて堆積することができる。絶縁層を部分的に除去するために、前方向におけるエッチ・レートが横方向におけるエッチ・レートより大きい、異方性エッチ・プロセスが用いられてよく、それによって、図11に示されるように、誘電体層120の側壁上に位置するスペーサ1110を形成する。
図11は、ハードマスク130の除去も示す。一般に、ハードマスク130を除去するプロセスは、RIE、レーザ・アブレーションなどのエッチング・プロセス、またはハードマスク130のような、材料の部分を選択的に除去するために用いることができる任意のエッチ・プロセスの使用を伴う。
図12は、本発明のある実施形態による、作製ステップの等角図を示す。図12は、第2のトレンチを生成するための誘電体層120の部分的な除去を示す。示される実施形態では、誘電体層120の中央および端の部分は、除去されない。除去される誘電体層120の部分は、スペーサ1110、誘電体層120、および/またはライナ110の上面上に堆積されたフォトレジストの層(図示されない)中に第2のトレンチの所望の形状を画定するための標準的なフォトリソグラフィ・プロセスの使用によって除去されてよい。様々な実施形態において、第2のトレンチの形成の際に除去されることになる誘電体層のエリアに対応するフォトレジスト層の部分を除去するために標準的なフォトリソグラフィ・プロセスが用いられる。誘電体層120のその部分は、例えば、誘電体層120の所望の部分(単数または複数)を除去するためのRIEのようなドライ・エッチ・プロセスを用いて除去されてよい。誘電体層120のそれらの部分のエッチングの結果として、ライナ110が第2のトレンチとして画定されたエリア内に露出される。
図13は、本発明のある実施形態による、作製ステップの等角図を示す。図13は、トレンチを備えるギャップ内の導電性金属1310の形成を示す。導電性金属1310は、任意のタイプの導電性金属であってよい。例えば、導電性金属1310は、Ru、Co、Mo、W、Al、またはRhで構成されてよい。導電性金属1310は、例えば、CVD、PECVD、PVD、または他の堆積プロセスを用いて堆積されてよい。導電性金属1310は、所望の高さを超えて導電性金属1310を堆積し、その後、スペーサ1110および誘電体層120の上面が露出されるように導電性金属1310の高さを減少させるために、CMPのような、平坦化プロセスを利用することによって生成されてよい。
スペーサ1110がTiNからなる、いくつかの実施形態では、他の材料使用と比較してライン・ウィグリングが低減されうる。本発明の実施形態は、より高いモジュラスをもつテンプレートが金属充填後のライン・ウィグリングを軽減できることを認識する。スペーサ1110がTiNで構成されたTiNテンプレートは、多くの他の材料より高い約500GPaのモジュラスを有する。
図14は、本発明のある実施形態による、作製ステップの等角図を示す。図14は、減法パターニング・プロセスによるトップ・ビアおよび金属相互接続ラインの形成を示し、それらのプロセス中に導電性金属1310の非ビア部分が所望のビア構造のための目標深さまでリセスされる。トップ・ビアの形成は、フォトリソグラフィによる減法パターニング・プロセスを用いて行われてよい。導電性金属1310中にビアを形成するためにマスキング・ステップが利用される。かかるマスキングは、フォトレジスト層を堆積し、紫外光を用いてその層をパターニングすることを必然的に伴ってよく、フォトレジストの選択部分のみの除去を可能にして、次に、フォトレジスト・パターンに従って導電性金属1310をエッチングする。図14(および以降の同様の図)に示されるビアの配置が最終的な所望のビア構造の実装の詳細に基づいて変化してよいことに留意しなければならない。いくつかの実施形態では、選択的なエッチング・プロセスが利用されてよい。図14に示される実施形態のような、いくつかの実施形態では、誘電体層120がエッチング・プロセスの結果として損傷されることがあり、図14ではこれが減少した誘電体層120の高さによって表現される。
図15は、本発明のある実施形態による、作製ステップの等角図を示す。図15は、スペーサ1110および誘電体層120の選択的な除去、ならびに導電性金属1310中に形成された1つ以上のビアを含む結果として生じたビア構造および半導体基板100上のライナ110を示す。スペーサ1110および誘電体層120は、スペーサ1110および誘電体層120のすべてを除去してライナ110を部分的に露出させるためにスペーサ1110および/または誘電体層120の物理的に露出された部分を除去する際に、導電性金属1310に比べて選択的であるエッチング・プロセスを用いて除去されてよい。利用されるエッチング・プロセスは、ドライ・エッチングまたはウェット・エッチング・プロセスであってよい。
いくつかの実施形態では、ライナ110の露出部分が半導体基板100上に残る。他の実施形態では、ライナ110が導電性金属1310の下方にのみ存在するように、ライナ110の露出部分がエッチ除去される(図16参照)。
図16は、本発明のある実施形態による、作製ステップの等角図を示す。図16は、ライナ110の露出部分の選択的な除去を示す。ライナ110は、RIEのような、エッチング技術を用いて除去される。RIEは、様々な材料を除去するために、電磁場によって生成された、化学反応性プラズマを用いる。用いられるプラズマのタイプは、ライナ110が構成される材料に依存するであろうこと、あるいは他のエッチ・プロセス、例えば、ウェット化学エッチングまたはレーザ・アブレーションが用いられてよいことを当業者は認識するであろう。一実施形態においては、ライナ110の露出部分を除去して半導体基板100の表面を露出させるために化学エッチングが用いられる。いくつかの実施形態では、例えば、ライナ110がTiNまたはTaNで構成されるときなどに、ライナ110の露出部分を除去するためにTiNおよびTaNのウェット除去プロセスが利用されてよい。
結果として生じる構造は、BEOL金属ラインおよびトップ・ビア構造である。その構造は、例えば、ダマシンによって形成された金属ラインと、スペーサ1110がトップ・ビアのエッチング・プロセス中にスキャフォルドとしての役割を果たす、減法プロセスによって形成されたトップ・ビアとを含む金属-絶縁体-金属キャパシタであってよい。
結果として生じた集積回路チップを作製者によって未加工ウェハの形態で(すなわち、複数のパッケージされていないチップを有する単一のウェハとして)、ベアダイとして、またはパッケージされた形態で配布することができる。後者の場合には、チップは、シングルチップ・パッケージ(例えば、マザーボードもしくは他の上位レベルのキャリアに付けられるリード線をもつ、プラスチック・キャリヤ)内に、あるいはマルチチップ・パッケージ(例えば、片面もしくは両面相互接続、または埋め込み相互接続を有するセラミック・キャリヤ)内に搭載される。いずれの場合でも、そのチップは、次に、(a)マザーボードのような中間製品、または(b)最終製品のいずれかの一部として、他のチップ、ディスクリート回路要素、および/または他の信号処理デバイスと統合される。最終製品は、トイならびに他のローエンド用途から、ディスプレイ、キーボードもしくは他の入力デバイス、および中央処理装置を有する先進的なコンピュータ製品に及ぶ、集積回路チップを含む任意の製品とすることができる。
本明細書に用いられる用語法は、特定の実施形態のみを記載することを目的とし、本発明を限定することは意図されない。本明細書では、単数形「a(ある)」、「an(ある)」および「the(前記)」は、文脈が別に明確に示さない限り、複数形を含むことが意図される。用語「備える(comprises)」および/または「(備えている(comprising)」は、本明細書に用いられるときに、述べられる特徴、整数、ステップ、動作、要素、および/または構成要素の存在を指定するが、1つ以上の他の特徴、整数、ステップ、動作、要素、構成要素、および/またはそれらの群の存在または追加を排除しないことがさらに理解されるであろう。
本出願が、その好ましい実施形態に関して特に図示され、記載されたが、本出願の範囲から逸脱することなく、形態および詳細における前述および他の変更が行われてよいことが当業者によって理解されるであろう。それゆえに、本出願は、記載され、示される正確な形態および詳細には限定されず、添付される特許請求の範囲内にあることが意図される。
本発明の好ましい実施形態においては、ライナ上に位置する誘電体層を設けることであって、ライナが半導体基板の表面上に位置する、設けることと、ライナの表面を露出させて、残っている誘電体層から誘電体材料ラインを生成する深さの複数のトレンチを形成することと、誘電体材料ラインの側壁上にスペーサを形成することと、2つのスペーサ間にある誘電体材料ラインの少なくとも1つを除去することと、各存在するギャップ内に導電性金属を形成することと、金属相互接続ラインおよびビアを形成するために導電性金属をパターニングすることと、複数のスペーサおよび残っている誘電体材料ラインを除去することとを含む方法が提供される。トレンチの幅は、所望の金属相互接続ライン幅および予想されるスペーサ厚さの合計に等しくてよい。トレンチの幅は、所望の金属相互接続ライン幅より3倍大きくてよい。スペーサは、窒化チタン(TiN)で構成されてよい。導電性金属は、ルテニウム(Ru)、モリブデン(Mo)、コバルト(Co)、アルミニウム(Al)、タングステン(W)、およびロジウム(Rh)からなる群から選択されてよい。方法は、複数のスペーサおよび残っている誘電体材料ラインを除去した後に、ライナの露出部分を除去することをさらに含んでよい。金属相互接続ラインおよびビアを形成するために導電性金属をパターニングすることは、減法パターニング・プロセスを利用してよい。
本発明の別の好ましい実施形態においては、半導体基板、半導体基板の表面上のライナ、ライナの表面上の複数の相互接続ラインおよびビアであって、導電性金属で構成された複数の相互接続ラインおよびビア、ならびに複数の相互接続ラインおよびビアの各々の間における複数のスペーサを備える半導体構造が提供される。複数のスペーサは、窒化チタン(TiN)で構成されてよい。導電性金属は、ルテニウム(Ru)、モリブデン(Mo)、コバルト(Co)、アルミニウム(Al)、タングステン(W)、およびロジウム(Rh)からなる群から選択されてよい。ライナは、窒化チタン(TiN)または窒化タンタル(TaN)、チタン(Ti)、およびタンタル(Ta)からなる群からの選択で構成されてよい。複数の相互接続ラインおよびビアの各々は、相互接続ラインの表面から突き出る少なくとも1つのビアを備えてよい。相互接続ラインの表面は、上面であってよい。
Claims (11)
- ライナ上でマンドレルをパターニングすることであって、前記ライナが半導体基板上に位置する、前記パターニングすることと、
前記マンドレルの側壁上にスペーサを形成することと、
前記ライナの露出表面上で前記スペーサ間の複数のギャップ内に誘電体材料ラインを形成することと、
前記マンドレルを除去することと、
前記スペーサ間の前記複数のギャップの少なくとも1つ内の前記誘電体材料ラインの少なくとも1つを除去することと、
各ギャップ内に導電性金属を形成することと、
金属相互接続ラインおよびビアを形成するために前記導電性金属をパターニングすることと、
前記複数のスペーサおよび前記残っている誘電体材料ラインを除去することと
を含む、方法。 - 前記ライナの前記露出部分上に前記誘電体材料層を形成することは、
スピン・オン・グラスおよび流動性化学気相堆積からなる群から選択されるプロセスを介して、マンドレルを超える高さまで誘電体材料を堆積することと、
前記誘電体材料層を形成し、前記マンドレルの上面を露出させるために、平坦化プロセスを利用して前記誘電体材料の前記高さを減少させることと
を含む、請求項1に記載の方法。 - 前記スペーサは、窒化チタン(TiN)で構成される、請求項1に記載の方法。
- 前記導電性金属は、ルテニウム(Ru)、モリブデン(Mo)、コバルト(Co)、アルミニウム(Al)、タングステン(W)、およびロジウム(Rh)からなる群から選択される、請求項1に記載の方法。
- 前記複数のスペーサおよび前記残っている誘電体材料ラインを除去した後に、前記ライナの露出部分を除去すること
をさらに含む、請求項1に記載の方法。 - 前記誘電体材料ラインの前記少なくとも1つは、複数の前記誘電体材料ラインを備える、請求項1に記載の方法。
- 前記金属相互接続ラインおよび前記ビアを形成するために前記導電性金属をパターニングすることは、減法パターニング・プロセスを利用する、請求項1に記載の方法。
- 半導体基板と、
前記半導体基板の表面の部分上のライナと、
前記ライナの表面上に相互接続ラインおよびビアを形成するためにパターニングされた導電性金属と
を備える、半導体構造。 - 前記ライナのいずれの部分も外部に露出されていない、請求項8に記載の半導体構造。
- 前記導電性金属は、ルテニウム(Ru)、モリブデン(Mo)、コバルト(Co)、アルミニウム(Al)、タングステン(W)、およびロジウム(Rh)からなる群から選択される、請求項8に記載の半導体構造。
- 前記ライナは、窒化チタン(TiN)、窒化タンタル(TaN)、チタン(Ti)、およびタンタル(Ta)からなる群からの選択で構成される、請求項8に記載の半導体構造。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/117,186 US11315872B1 (en) | 2020-12-10 | 2020-12-10 | Self-aligned top via |
US17/117,186 | 2020-12-10 | ||
PCT/EP2021/081386 WO2022122294A1 (en) | 2020-12-10 | 2021-11-11 | Self-aligned top via |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2023553604A true JP2023553604A (ja) | 2023-12-25 |
Family
ID=78770598
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2023534155A Pending JP2023553604A (ja) | 2020-12-10 | 2021-11-11 | セルフアラインされたトップ・ビア |
Country Status (6)
Country | Link |
---|---|
US (1) | US11315872B1 (ja) |
EP (1) | EP4260365A1 (ja) |
JP (1) | JP2023553604A (ja) |
KR (1) | KR20230098237A (ja) |
CN (1) | CN116964736A (ja) |
WO (1) | WO2022122294A1 (ja) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN113921472B (zh) * | 2020-07-08 | 2024-07-19 | 长鑫存储技术有限公司 | 半导体结构及其制作方法 |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001194084A (ja) * | 1999-12-15 | 2001-07-17 | Lg Electronics Inc | フィン・チューブ型の熱交換器 |
US8357609B2 (en) | 2010-05-04 | 2013-01-22 | Globalfoundries Inc. | Dual damascene-like subtractive metal etch scheme |
US8492270B2 (en) | 2010-09-20 | 2013-07-23 | International Business Machines Corporation | Structure for nano-scale metallization and method for fabricating same |
US9059001B2 (en) * | 2011-12-16 | 2015-06-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device with biased feature |
US9761489B2 (en) * | 2013-08-20 | 2017-09-12 | Applied Materials, Inc. | Self-aligned interconnects formed using substractive techniques |
WO2015047318A1 (en) | 2013-09-27 | 2015-04-02 | Intel Corporation | Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects |
US9177797B2 (en) * | 2013-12-04 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithography using high selectivity spacers for pitch reduction |
US9646883B2 (en) | 2015-06-12 | 2017-05-09 | International Business Machines Corporation | Chemoepitaxy etch trim using a self aligned hard mask for metal line to via |
US9613861B2 (en) | 2015-08-05 | 2017-04-04 | Globalfoundries Inc. | Damascene wires with top via structures |
US9502350B1 (en) | 2016-01-28 | 2016-11-22 | International Business Machines Corporation | Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer |
WO2017204821A1 (en) | 2016-05-27 | 2017-11-30 | Intel Corporation | Subtractive plug and tab patterning with photobuckets for back end of line (beol) spacer-based interconnects |
US9852986B1 (en) * | 2016-11-28 | 2017-12-26 | Globalfoundries Inc. | Method of patterning pillars to form variable continuity cuts in interconnection lines of an integrated circuit |
US9984919B1 (en) | 2017-07-31 | 2018-05-29 | Globalfoundries Inc. | Inverted damascene interconnect structures |
WO2019089045A1 (en) | 2017-11-03 | 2019-05-09 | Intel Corporation | Techniques for forming vias and other interconnects for integrated circuit structures |
US10692759B2 (en) | 2018-07-17 | 2020-06-23 | Applied Materials, Inc. | Methods for manufacturing an interconnect structure for semiconductor devices |
CN111834212B (zh) | 2019-04-23 | 2023-05-26 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件及其形成方法 |
TWI833425B (zh) | 2019-05-01 | 2024-02-21 | 美商應用材料股份有限公司 | 完全對準消去處理及來自此處理的電子裝置 |
US11139202B2 (en) * | 2019-09-27 | 2021-10-05 | International Business Machines Corporation | Fully aligned top vias with replacement metal lines |
US11244897B2 (en) | 2020-04-06 | 2022-02-08 | International Business Machines Corporation | Back end of line metallization |
US11270913B2 (en) | 2020-04-28 | 2022-03-08 | International Business Machines Corporation | BEOL metallization formation |
-
2020
- 2020-12-10 US US17/117,186 patent/US11315872B1/en active Active
-
2021
- 2021-11-11 CN CN202180083332.9A patent/CN116964736A/zh active Pending
- 2021-11-11 JP JP2023534155A patent/JP2023553604A/ja active Pending
- 2021-11-11 WO PCT/EP2021/081386 patent/WO2022122294A1/en active Application Filing
- 2021-11-11 EP EP21814716.3A patent/EP4260365A1/en active Pending
- 2021-11-11 KR KR1020237017555A patent/KR20230098237A/ko active Pending
Also Published As
Publication number | Publication date |
---|---|
EP4260365A1 (en) | 2023-10-18 |
US11315872B1 (en) | 2022-04-26 |
WO2022122294A1 (en) | 2022-06-16 |
CN116964736A (zh) | 2023-10-27 |
KR20230098237A (ko) | 2023-07-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8298943B1 (en) | Self aligning via patterning | |
US9613861B2 (en) | Damascene wires with top via structures | |
US6020255A (en) | Dual damascene interconnect process with borderless contact | |
US10485111B2 (en) | Via and skip via structures | |
US11594419B2 (en) | Reduction of line wiggling | |
US6323118B1 (en) | Borderless dual damascene contact | |
US8669180B1 (en) | Semiconductor device with self aligned end-to-end conductive line structure and method of forming the same | |
JPH1174356A (ja) | 改良二重ダマスク構造体 | |
US11929258B2 (en) | Via connection to a partially filled trench | |
CN101366116A (zh) | 制造厚布线结构的双镶嵌工艺 | |
US10714389B2 (en) | Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration | |
JP2023553604A (ja) | セルフアラインされたトップ・ビア | |
CN108962818B (zh) | 电容结构的制作方法以及电容结构 | |
US7452804B2 (en) | Single damascene with disposable stencil and method therefore | |
US10896870B2 (en) | Semiconductor device with air gaps in interlayer insulating layer and method of manufacturing the same | |
KR100909174B1 (ko) | 듀얼 다마신 패턴 형성 방법 | |
US20230136674A1 (en) | Self-aligned double patterning (sadp) integration with wide line spacing | |
US20250079174A1 (en) | Method for processing a substrate | |
US12266605B2 (en) | Top via interconnects with line wiggling prevention | |
US20230102662A1 (en) | Top via interconnects with line wiggling prevention | |
US20240153864A1 (en) | Metallization levels with skip via and dielectric layer | |
US12057395B2 (en) | Top via interconnects without barrier metal between via and above line | |
US20230178423A1 (en) | Top via with protective liner | |
KR100579856B1 (ko) | 반도체 소자의 금속 배선 형성 방법 | |
KR100408683B1 (ko) | 반도체 소자의 콘택 형성방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20240411 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20241226 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20250107 |