JP2004038154A - フォトリソグラフィレチクルをエッチングする方法 - Google Patents
フォトリソグラフィレチクルをエッチングする方法 Download PDFInfo
- Publication number
- JP2004038154A JP2004038154A JP2003136222A JP2003136222A JP2004038154A JP 2004038154 A JP2004038154 A JP 2004038154A JP 2003136222 A JP2003136222 A JP 2003136222A JP 2003136222 A JP2003136222 A JP 2003136222A JP 2004038154 A JP2004038154 A JP 2004038154A
- Authority
- JP
- Japan
- Prior art keywords
- watts
- layer
- processing chamber
- etching
- reticle
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 144
- 238000005530 etching Methods 0.000 title claims abstract description 94
- 239000000463 material Substances 0.000 claims abstract description 119
- 238000012545 processing Methods 0.000 claims abstract description 96
- 239000007789 gas Substances 0.000 claims abstract description 81
- 239000000758 substrate Substances 0.000 claims abstract description 67
- 229910052751 metal Inorganic materials 0.000 claims abstract description 56
- 239000002184 metal Substances 0.000 claims abstract description 56
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 32
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims abstract description 31
- 239000011737 fluorine Substances 0.000 claims abstract description 31
- 239000000460 chlorine Substances 0.000 claims abstract description 26
- 229910052801 chlorine Inorganic materials 0.000 claims abstract description 18
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims abstract description 17
- 239000012780 transparent material Substances 0.000 claims abstract description 17
- 238000013016 damping Methods 0.000 claims abstract description 16
- 235000019000 fluorine Nutrition 0.000 claims description 32
- YXTPWUNVHCYOSP-UHFFFAOYSA-N bis($l^{2}-silanylidene)molybdenum Chemical group [Si]=[Mo]=[Si] YXTPWUNVHCYOSP-UHFFFAOYSA-N 0.000 claims description 19
- 229910021344 molybdenum silicide Inorganic materials 0.000 claims description 19
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 16
- 229930195733 hydrocarbon Natural products 0.000 claims description 16
- 150000002430 hydrocarbons Chemical class 0.000 claims description 16
- 239000011261 inert gas Substances 0.000 claims description 15
- 238000004519 manufacturing process Methods 0.000 claims description 13
- 229920002120 photoresistant polymer Polymers 0.000 claims description 13
- 238000000151 deposition Methods 0.000 claims description 12
- 238000000059 patterning Methods 0.000 claims description 10
- 229910052786 argon Inorganic materials 0.000 claims description 8
- 239000001307 helium Substances 0.000 claims description 6
- 229910052734 helium Inorganic materials 0.000 claims description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 6
- 239000004215 Carbon black (E152) Substances 0.000 claims description 5
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 claims description 5
- GALOTNBSUVEISR-UHFFFAOYSA-N molybdenum;silicon Chemical compound [Mo]#[Si] GALOTNBSUVEISR-UHFFFAOYSA-N 0.000 claims description 5
- 125000001153 fluoro group Chemical group F* 0.000 claims description 4
- 229910052743 krypton Inorganic materials 0.000 claims description 2
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 claims description 2
- 229910052754 neon Inorganic materials 0.000 claims description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 2
- 229910052724 xenon Inorganic materials 0.000 claims description 2
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 claims description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims 10
- 229910003902 SiCl 4 Inorganic materials 0.000 claims 3
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 claims 2
- 239000005049 silicon tetrachloride Substances 0.000 claims 2
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 claims 2
- 238000011010 flushing procedure Methods 0.000 claims 1
- 230000015572 biosynthetic process Effects 0.000 description 9
- 238000006116 polymerization reaction Methods 0.000 description 8
- 229920000642 polymer Polymers 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 6
- 230000006698 induction Effects 0.000 description 6
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 230000010363 phase shift Effects 0.000 description 5
- 235000012239 silicon dioxide Nutrition 0.000 description 5
- 239000002210 silicon-based material Substances 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 229910052804 chromium Inorganic materials 0.000 description 4
- 239000011651 chromium Substances 0.000 description 4
- 230000000670 limiting effect Effects 0.000 description 4
- 239000010453 quartz Substances 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 230000002238 attenuated effect Effects 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 238000000609 electron-beam lithography Methods 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 238000007796 conventional method Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 229910001882 dioxygen Inorganic materials 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 230000000717 retained effect Effects 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 229910001634 calcium fluoride Inorganic materials 0.000 description 1
- 150000001721 carbon Chemical group 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- BGTFCAQCKWKTRL-YDEUACAXSA-N chembl1095986 Chemical compound C1[C@@H](N)[C@@H](O)[C@H](C)O[C@H]1O[C@@H]([C@H]1C(N[C@H](C2=CC(O)=CC(O[C@@H]3[C@H]([C@@H](O)[C@H](O)[C@@H](CO)O3)O)=C2C=2C(O)=CC=C(C=2)[C@@H](NC(=O)[C@@H]2NC(=O)[C@@H]3C=4C=C(C(=C(O)C=4)C)OC=4C(O)=CC=C(C=4)[C@@H](N)C(=O)N[C@@H](C(=O)N3)[C@H](O)C=3C=CC(O4)=CC=3)C(=O)N1)C(O)=O)=O)C(C=C1)=CC=C1OC1=C(O[C@@H]3[C@H]([C@H](O)[C@@H](O)[C@H](CO[C@@H]5[C@H]([C@@H](O)[C@H](O)[C@@H](C)O5)O)O3)O[C@@H]3[C@H]([C@@H](O)[C@H](O)[C@@H](CO)O3)O[C@@H]3[C@H]([C@H](O)[C@@H](CO)O3)O)C4=CC2=C1 BGTFCAQCKWKTRL-YDEUACAXSA-N 0.000 description 1
- 238000001311 chemical methods and process Methods 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000005672 electromagnetic field Effects 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- -1 for example Substances 0.000 description 1
- IXCSERBJSXMMFS-UHFFFAOYSA-N hcl hcl Chemical compound Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 239000011147 inorganic material Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 239000005360 phosphosilicate glass Substances 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/80—Etching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/26—Phase shift masks [PSM]; PSM blanks; Preparation thereof
- G03F1/32—Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Drying Of Semiconductors (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
Abstract
基板例えばフォトリソグラフィレチクル上に配置される光学的に透明な層にエッチングするための方法及び装置が提供される。1つの態様において、基板をエッチングする方法は、処理チャンバ内の支持部材上にレチクルを配置するステップであり、レチクルは、光学的に透明な材料上に配置された減衰材料層、前記減衰材料層上に形成されパターン化された金属フォトマスク、及び前記パターン化され金属フォトマスク上に堆積されたパターン化されたレジスト材料を含む、レチクルを配置するステップと、1つ以上のフッ素含有重合材料及び1つ以上の塩素含有ガスを処理チャンバ内に導入するステップと、RF電力をコイルに適用しバイアス電力を支持部材に適用することによってプラズマを発生させるために、電力を処理チャンバに供給するステップと、露出した減衰材料層の部分をエッチングするステップと、を含む。
【選択図】 図2
【選択図】 図2
Description
【技術分野】
【0001】
関連出願への相互参照
この出願は、2002年5月14日に出願された米国暫定特許出願番号第60/380,493号の利益を主張し、この出願を参照して本明細書に組み入れる。
本発明の分野
本発明は、集積回路の作製、及び集積回路の製造に有用なフォトマスクの作製に関する。
【背景技術】
【0002】
半導体装置の形状は、数十年前に初めて導入されて以来、そのおおきさが劇的に減少した。それ以来、集積回路は一般に2年/半分のサイズの法則(よくムーアの法則と呼ばれる)に従ってきた。これは、チップ上のデバイスの数が2年毎に2倍になることを意味する。今日の製作プラントは、型通りに0.15μm及び0.13μmのフィーチャサイズを有するデバイスを製造しており、将来のプラントは、より小さいデバイスを製造するだろう。
【0003】
回路密度を増大することは、半導体装置を製造するために使用されるプロセスに、付加的な需要を有する。例えば、回路密度が増大するにつれて、バイア、コンタクト及び他のフィーチャの幅と同様に、これらの間の誘電材料もまた、サブミクロン単位に減少する。一方、誘電体層の厚さは実質的に一定に維持され、その結果、フィーチャについてのアスペクト比(すなわち、フィーチャの高さ割る幅)は、増大する。高アスペクト比フィーチャの信頼できる形成は、サブミクロン技術の成功に重要であり、回路密度及び個々の基板のクォリティーを増大する継続的な努力に重要である。
【0004】
高アスペクト比フィーチャは、従来、基板表面をパターニングしてフィーチャのディメンションを画成し、次いで、基板をエッチングして材料を除去し、フィーチャを画成することにより形成していた。幅に対する所望の高さ比を有する高アスペクト比フィーチャを形成するために、フィーチャの寸法は所定のパラメータの範囲内で形成されることが要求され、これは、フィーチャの臨界寸法として代表的に特徴付けられる。従って、所望の臨界寸法を有する高アスペクト比フィーチャの信頼できる形成には、正確なパターニング及び引き続く基板のエッチングを必要とする。
【0005】
フォトリトグラフィーは、基板表面上に正確なパターンを形成するために使用される技術であり、パターンが形成された基板表面は続いてエッチングされ、所望のデバイス又はフィーチャが形成される。フォトリトグラフィー技術は、光パターン及び基板表面に堆積されたレジスト材料を使用し、エッチングプロセスの前に、基板表面上の正確なパターンを現像する。従来のフォトリソグラフィプロセスにおいて、レジストはエッチングされる層の上に適用され、層内のエッチングされるフィーチャ、例えばコンタクト、バイアまたは相互接続は、その上にフォトマスクを有するフォトリソグラフィレチクルを介して光のパターンにレジストを露光することにより、画成される。フォトマスク層は、フィーチャの所望の形状に対応する。例えば紫外線(UV)又は弱いX線光を照射する光源は、レジストの組成を変えるためにレジストを露光するのに使用されてもよい。通常、露光されたレジスト材料は、下層の基板材料を露出させるために、化学プロセスによって除去される。次に、露出された下層の基板材料は、エッチングされて基板表面にフィーチャを形成する。一方、保持されたレジスト材料は、露出していない下層の基板材料の保護皮膜として残る。
【0006】
フォトリソグラフィのレチクルは、一般に光学的に透明な材料、例えば石英(すなわち二酸化ケイ素、SiO2)で作製される基板を含む。この基板は、金属、典型的にはクロムの不透明な光−シールド層を基板表面上に配置される。光−シールド層は、基板に伝達されるフィーチャに対応してパターン化される。通常、従来のフォトリソグラフィのレチクルは、最初に光学的に透明な材料例えば石英を含む基板上に薄い金属層を堆積させ、薄い金属層上にレジスト層を堆積することにより製造する。次に、レジストは従来のレーザーまたは電子ビームパターニング装置を使用してパターン化され、金属層に伝達される臨界寸法を画成する。次に、金属層はエッチングされ、パターン化されたレジストによって保護されていない金属材料が除去される。これにより、下層の材料が露出し、パターン化されたフォトマスク層が形成される。フォトマスク層は、基板表面上へ正確なパターンで光が通過するのを可能とする。
【0007】
現在の回路密度を達成するために、減衰位相シフトフォトマスクが使用されており、フォトマスクを通過する光の解像度を増大することによって、基板上に形成されるエッチングパターンの精度を増加している。減衰位相シフトフォトマスクは、金属フォトマスク層の堆積前に減衰材料層を堆積させることによって作製される。次に、減衰材料層は、レジスト材料を含むリソグラフィープロセスを使用してエッチングされ、これにより、入射光の位相を180度シフトするフィーチャを形成する。光の位相のシフトは、結果として光を相殺して光のデフラクションを除去又は減少し、光の改善された解像度が提供される。所望の解像度を生成すべく光を修正するために、基板の減衰材料層に形成されるエッチングされたフィーチャは、フィーチャ構造に最小量の欠陥を伴い、基板に正確に形成されなければならない。
【0008】
減衰材料は一般にシリコンベースの材料であり、シリコンベースの材料例えば半導体製造における誘電体層のために使用される材料のために、現在のエッチングプロセスは、減衰材料内でフィーチャをエッチングすることは不適当であることが判明した。
【0009】
例えば、シリコンベース材料をエッチングするために使用されるフッ素ベースのエッチング化学物質は、良質のフォトマスクを生成しなかった。これは、化学物質及び処理条件が受容できるフィーチャ精度でエッチングできなかったためである。このような例において、レジスト材料に形成される開口側壁のオーバーエッチング又は不正確なエッチングが、減衰材料層の寸法を画成していた。レジスト材料の過剰なサイド除去は、パターン化されたレジストフィーチャの臨界寸法の損失となる。これは、パターン化されたレジスト層によって画成される金属層内に形成されるフィーチャの臨界寸法の損失に対応するかもしれない。
【0010】
したがって、欠陥形成を最小にするために光学的に透明な材料をエッチングし、真っ直ぐな側壁、平らな底部、高いプロファイル角及び改善されたエッチング選択性を有するフィーチャを形成する、化学物質及びプロセスが求められている。
【考案の開示】
【0011】
本発明は、一般に光学的に透明な材料を含むフォトリソグラフィのレチクルにエッチングする方法を提供する。1つの態様において、基板をエッチングする方法が提供され、この方法は、処理チャンバ内の支持部材上にレチクルを配置するステップであって、ここで、レチクルは、光学的に透明な材料上に配置される減衰材料層、減衰材料層上に形成されるパターン化された金属フォトマスク層、及び金属フォトマスク層上に堆積されるパターン化されたレジスト材料を含む、レチクルを配置するステップと、1つ以上のフッ素含有重合材料及び1つ以上の塩素含有ガスを処理チャンバ内に導入するステップと、前記処理チャンバに電力を供給し、RF電力源をコイルに適用しバイアス電力を支持部材に適用することによってプラズマを発生させるステップと、及び、減衰材料層の露出部分をエッチングするステップと、を含む。
【0012】
他の態様において、光学的に透明な材料上に配置される減衰材料層、減衰材料層上に形成されパターン化された金属フォトマスク層及びパターン化された金属フォトマスク層上に堆積されるパターン化されたレジスト材料を含むレチクルをエッチングする方法が提供される。この方法は、処理チャンバ内の支持部材上にレチクルを配置するステップであって、前記レチクルは、約150℃未満の温度で維持される、ステップと、塩素ガス及び1
つ以上のフッ素を含む炭化水素を含み、一般式CXHYFZを有する処理ガスを導入するステップであって、ここでxは1から5の整数、yは1から8の整数、zは1から8の整数である、ステップと、処理チャンバに電力を供給してプラズマを発生させるステップと、露出した減衰材料層の部分をエッチングするステップと、を含む。
【0013】
他の態様において、フォトリソグラフィプロセスのためのレチクルを製造する方法が提供される。この方法は、減衰材料層上に配置される金属層をパターニングし、減衰材料層露光するステップと、パターン化された金属層上のレジスト層を堆積してパターニングするステップと、エッチング処理チャンバ内の支持部材上にフォトマスクを配置するステップと、1つ以上のフッ素を含む重合材料及び1つ以上の塩素含有ガスを含むガスを処理チャンバ内に導入するステップと、エッチング処理チャンバに隣接して配置されたコイルにRF電力源を適用し、処理チャンバ内にプラズマを発生させるステップと、減衰材料層の露出した部分をエッチングするステップと、を含む。
【発明を実施するための最良の形態】
【0014】
本発明の特徴、利点及び目的は上述のように達成され、本発明の特定な記載、上述の要約は、添付の図面で示される実施の形態を参照して、より詳細に理解されるであろう。
【0015】
しかしながら、添付の図面は、本発明の代表的な実施形態だけを示しており、従って、本願発明はその範囲に制限されるものではなく、本願発明は、他の同様に効果的な実施形態をも包含するものである。
【0016】
本発明の態様は、誘導結合プラズマエッチングチャンバに関して、以下で記述される。適切な誘導結合プラズマエッチングチャンバは、ヘイワード(カリフォルニア)のETECから入手できるETECTetra(登録商標)が含まれ、任意には、サンタクララ(カリフォルニア)のアプライドマテリアルズ社から入手できるデカップリングソース(DPS(登録商標))チャンバを含む。他のプロセスチャンバは、本発明のプロセスを実施するために使用されてもよく、例えば、静電結合平行板チャンバ及び異なるサイズの誘導結合プラズマエッチングチャンバにみならず、磁気増速イオンエッチングチャンバも含まれる。プロセスはETECTetra(登録商標)フォトマスクエッチングチャンバで有利に実施されるが、DPS(登録商標)処理チャンバと関連した記載は例示であり、本発明の態様の範囲をこの記載に限定して解釈すべきではない。
【0017】
図1は、本明細書で記述されるプロセスを実施するために使用されるDPS(登録商標)処理チャンバの一実施形態の概略断面図である。処理チャンバ10は、一般に円筒状側壁またはチャンバ本体12、この本体12に取り付けられたエネルギー透過性ドームシーリング13、及びチャンバ底部17を含む。誘導コイル26は、少なくともドーム13の部分の周囲に配置される。処理チャンバ10のチャンバ本体12及びチャンバ底部17は、金属例えば陽極酸化アルミニウムで作製することができ、ドーム13は、セラミックまたは他の誘電材料のようなエネルギー透過性材料で作製することができる。
【0018】
基板支持部材16は、処理の間、基板20を支持するために処理チャンバ10内に配置される。支持部材16は、支持部材16の部分が導電性でプロセスバイアスカソードとしての役割を果たし得る、従来の機械的又は静電的チャックでであってもよい。図示しないが、レチクルアダプターは支持部材16上にレチクルを固定するために使用されてもよい。レチクルアダプターは、一般に支持部材の上部部分及びレチクルを保持する大きさと形状の開口を有する頂部部分を覆うように成型された下部部分を含む。適切なレチクルアダプターは米国特許第6,251,217(2001年6月26日に発行)において開示されており、その記載は本発明の態様及び請求の範囲と一致していない範囲において、参照して本明細書に組み入れる。
【0019】
処理ガスは、支持部材16の外周に配置されるガス分配装置22を通して、プロセスガス源(図示しない)から処理チャンバ10に導入される。マスフローコントローラ(図示しない)は、各処理ガスのためまたは処理ガスの混合物のために処理チャンバ10及びプロセスガス源の間に配置され、それぞれ処理ガスの流速を管理する。マスフローコントローラは、各処理ガスまたは処理ガス混合物のために約1000sccmまでの流速を調節することができる。
【0020】
プラズマゾーン14は、プロセスチャンバ10、基板支持部材16及びドーム13のそばで画成される。プラズマは、コイル電源27を使用して処理ガスからプラズマゾーン14において形成され、コイル電源27は、電磁場を発生させるために誘導コイル26に電力を供給する。支持部材16は、その中に電極を含み、電極は、電極電源28によって電力を供給され、処理チャンバ10内に容量性電界を発生させる。一般に、RF電力は支持部材16内で電極に適用され、同時に本体12は電気的に接地される。容量性の電界は、支持部材16の平面に対して直角であり、基板20によりより垂直な荷電種の指向性に影響を与え、基板20により垂直な指向異方性エッチングを提供する。
【0021】
プロセスガス及びエッチング液副産物は、排出システム30を通してプロセスチャンバ10から排出される。排出システム30は、処理チャンバ10の底部17に配置されてもよく、または処理ガスの除去のために処理チャンバ10の本体12内に配置されてもよい。絞り弁32は、処理チャンバ10内の圧力を制御するために排出口34に設けられる。光学終点測定装置は、チャンバで実施されるプロセスの終点を決定するために、処理チャンバ10に接続することができる。
【0022】
以下のプロセスの記載は、本明細書で記載される処理ガスによって基板をエッチングする一実施形態を示す。
異なる装置例えば異なるエッチング装置及び異なるレチクルサイズ例えば9インチの基板処理に適切なフォトリソグラフィのレチクルのために、本発明はここで記述される範囲外のプロセスパラメータの使用を意図する。
典型的なエッチングプロセス
フォトマスクを製造する際に使用される減衰材料、例えばケイ化モリブデン(MoSi)またはモリブデンオキシ窒化ケイ素(MoSiON)を含むシリコンベースの材料は、鋭い角度プロファイル及び平らなフィーチャ底部を有する真っ直ぐな側壁を持つフィーチャを生成する。光学的に透明な材料をエッチングする際に使用される処理ガスは、(i)1つ以上のフッ素含有炭化水素ガス、(ii)塩素含有ガス、及び任意な(iii)希ガスを含む。
【0023】
図2は、本明細書で記述される処理ガスを用いてエッチングプロセス200を行う一実施形態のフローチャートを示す。図3A−3Fは、プロセス200で記述されるような本発明の一実施形態のエッチング手順を示す断面図である。フローチャートは、例示の目的で提供されるものであり、本発明の態様の範囲を制限するように解釈すべきではない。
【0024】
基板は、ステップ210で処理チャンバ例えば図1のDPS(登録商標)処理チャンバ10に提供される。図3Aを参照する、レチクル300は、光学的に透明な材料310のベース材料例えば光学クォリティー石英、フッ化カルシウム、アルミナ、サファイヤまたはこれらの組合わせ、典型的には光学クォリティー石英材料を含む。
【0025】
次に、減衰材料層320は、ステップ220で光学的に透過な材料310上に堆積される。減衰材料は、ケイ化モリブデン、モリブデンオキシ窒化ケイ素(MoSiXNYOZ)、これらの組合わせ、または光が透過する際に位相を変更又はシフトする既知又は未知の材料を含んでもよい。減衰材料は、それを通過する光の位相を180度シフトする。光の位相シフトは、透過する光と干渉を生じるものと考えられ、結果として光のキャンセル及びそれを通過する光のデフラクションを減少する。一般に、光の約5%〜約18%が減衰材料層を透過する。減衰材料は、フォトリソグラフィプロセス例えば248nm及び193nmの波長で使用される光を加減するために使用されてもよい。
【0026】
減衰材料は、約50nm〜約100nmの間の厚さで堆積されてもよい。しかし、減衰材料層の厚さは、プロセス条件例えば光源として使用される照射量又はタイプに基づいて、増減してもよい。減衰材料層は、当業界で知られている従来の方法例えば化学気相堆積(CVD)によって堆積してもよい。
【0027】
次にステップ230で、不透明なコンフォーマル金属層がフォトマスクとして基板上に堆積処理される。金属例えばクロムのフォトマスク層330は、図3Aに示すように、減衰材料層320上に堆積される。金属層は、当業界で知られている従来法例えば物理的気相堆積法(PVD)または化学的気相堆積法(CVD)技術によって堆積されてもよい。金属層330は、典型的には約50〜約100ナノメートル(nm)の間の厚さで堆積される。しかしながら、層の奥行きは、製造条件及び基板または金属層の材料の組成に基づいて変更されてもよい。本発明は、本明細書に記載されるプロファイルで使用するフォトマスクとして、他の材料例えば非金属無機材料又は有機材料の使用を意図する。
【0028】
金属層に形成されるフィーチャの寸法は、ステップ240で第1レジスト材料340を堆積、現像及びパターンエッチングすることによりパターン化され、図3Bで示すコンフォーマルな金属層330を露出するレジストフィーチャ345を形成する。フォトリソグラフィのレチクル作製で使用されるレジスト材料は、通常低温レジスト材料であり、約250℃を超える温度で熱的に劣化する材料として定義する。レジスト材料は、光学的にパターン化されてもよく、すなわちフォトレジスト材料であり、または他の放射性エネルギーパターニング装置例えばイオンビームエミッターによってパターン化されてもよく、又は電子ビームレジスト材料である。レジスト材料340の層例えばフォトレジスト「RISTON」は、duPontdeNemours化学会社によって製造され、厚さ約200〜600nmの間の厚さで金属層330上に堆積される。次にレジスト材料340は、従来のレーザー又は電子ビームパターニング装置を使用してパターンエッチングされ、金属層330及び減衰材料層320内で形成されるフィーチャの寸法を画成するフィーチャ345を形成する。
【0029】
次にフィーチャ335は、図3Cで示すように、ステップ250でコンフォーマル金属層330をエッチングすることにより基板内に形成され、下層の減衰材料層320を露出する。フィーチャ335は、一実施形態において基板300をエッチングチャンバに移すことによってエッチングされ、金属層330は当業界で既知の金属エッチング技術を使用し、または新規に開発されるかもしれない技術によってエッチングされる。金属エッチングプロセスの一例は、2001年12月18日に出願された米国特許出願番号第10/024,958号に詳細に記述されている。この出願の発明の名称は、「改善されたエッチングバイアスによりフォトリソグラフィレチクルを製造するためのエッチングプロセス」であり、本願特許請求の範囲に記載され開示された範囲と一致しない範囲において、参照して本明細書に組み入れる。金属層330は、一つ以上のプロセスステップでエッチングされてもよい。
【0030】
金属層330のエッチングが完了した後、残ったフォトレジスト材料340は、例えば酸素プラズマプロセスまたは当業界で知られている他のレジスト除去技術によって基板300から除去される。レジスト材料除去ステップは任意であり、次のエッチングの間、基板上で保持されてもよい。
【0031】
次に、減衰材料層320は、(i)1つ以上のフッ素を含有する重合材料、(ii)塩素を含有するガス、及び任意に(iii)不活性ガスを含む処理ガスにっよってエッチングされてもよく、図3Dに示すように、ステップ260でフィーチャ325を形成する。重合制限ガス又は重合抑制ガスもまた、処理ガス中に含まれてもよい。エッチングプロセスを実施するために、基板300は次にDPS(登録商標)処理チャンバに移され、そこで処理ガスが処理チャンバに導入され、プラズマを発生させる。
【0032】
1つ以上のフッ素を含有する重合ガスは、1つ以上のフッ素含有炭化水素、水素を含まないフッ素含有ガス又はこれらの組み合わせを含んでもよい。1つ以上のフッ素を含む炭化水素は一般式CXHYFZであり、ここで、xは炭素原子の1から5の整数、yは水素原子の1から8の整数、zはフッ素原子の1から8の整数である。フッ素を含む炭化水素ガスに例には、CHF3、CH3F、CH2F2、C2HF5、C2H4F2及びこれらの組合わせが含まれる。炭素1〜2原子、水素1〜4原子及びフッ素1〜5原子を有するフッ素含有炭化水素ガス例えばCHF3は、好適には光学的に透過な材料をエッチングする時に使用される。
【0033】
水素を含まないフルオロカーボンは、炭素1〜5原子及びフッ素4〜8原子を含むことができる。水素を含まないフルオロカーボンガスの例は、CF4、C2F6、C4F6、C3F8、C4F8、C5F8及びこれらの組合わせを含む。任意に、処理ガスは、付加的なエッチングガス例えば六フッ化硫黄(SF6)のようなフッ化硫黄を含んでもよい。
【0034】
フッ素含有重合材料は、パターン化されたレジスト材料及びエッチングされた光学的に透明な材料に形成されたフィーチャの表面、特に側壁にパッシベーション重合デポジットを形成するために有利に使用される。不動態化ポリマーデポジットは、フィーチャの過度のエッチングを防ぎ、これにより、所望の臨界寸法を有するフィーチャを生成する。1つ以上のフッ素含有炭化水素のプラズマは、酸化性ガスが存在することなく基板300上の減衰材料320をエッチングするフッ素含有種を生成する。
【0035】
塩素を含有するガスは、塩素(Cl2)、四塩化炭素(CCl4)、塩酸(HCl)及びこれらの組み合わせからなる群から選択され、好適にはCl2であり、光学的に透明な材料をエッチングする高い反応性のラジカルを供給するために使用される。塩素含有ガスはエッチングラジカル源を提供し、水素または炭素含有塩素含有ガスは、不動態化ポリマーデポジットを形成する材料の源を提供してもよく、これはエッチングバイアスを改善するかもしれない。
【0036】
処理ガスはまた、処理ガスを含むプラズマの一部としてイオン化される際に不活性ガスを含んでもよく、結果としてスパッタリング種におけるフィーチャのエッチング速度を増大する。プラズマの一部としての不活性ガスの存在はまた、処理ガスの解離を増進するかもしれない。その上に、プロセスガスに加えられる不活性ガスは、イオン化スパッタリング種を形成し、さらに、新たにエッチングされたフィーチャの側壁に形成されたポリマーデポジットをスパッタリング除去するかもしれない。これにより、種々の不動態化デポジットを減少し、制御可能なエッチング速度を提供する。処理ガスへ不活性ガスを含有させることにより、改善されたプラズマ安定性及びエッチング均一性が提供されることが判った。不活性ガスの例は、アルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、キセノン(Xe)、クリプトン(Kr)及びこれらの組合わせを含み、アルゴン及びヘリウムが一般に使用される。
【0037】
1つの例において、処理ガスは、塩素(Cl2)ガス、トリフルオロメタン(CHF3)及びアルゴンを不活性ガスとして含む。処理ガスは、1つ以上の重合制限ガス例えば酸素、オゾン、窒素またはこれらの組合わせを任意に含んでもよく、基板上の不動態化ポリマーデポジットの形成及び除去を制御することにより、処理ガスのエッチング速度を制御するために使用してもよい。酸素含有ガスは、ポリマーの形成を減少する他の化学種と反応するフリーな酸素種の形成を増進し、前記ポリマーはエッチングされたフィーチャ表面上に不動態化デポジットとして堆積する。例えば、酸素ガスはプラズマプロセスの一部のラジカル例えばCF2と反応し、揮発性のラジカル例えばCOF2を形成し、プロセスチャンバから排出される。
【0038】
不活性ガス及び任意なガスを含む処理ガス全体の流速は、約15sccmを超える流速、例えば約15sccm〜約200sccmの間の流速で導入され、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルがエッチングされる。塩素含有ガスは、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルをエッチングするために、約5sccm〜約100sccmの間の流速で処理チャンバ内に導入される。フッ素含有重合材料が処理チャンバに導入されるとき、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルをエッチングするために、約1sccm〜約50sccmの流速で処理チャンバ内に導入される。不活性ガスが処理チャンバに導入されるとき、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルをエッチングするために、約0sccm〜約100sccmの間の流速で処理チャンバ内に導入される。重合制限ガスが処理チャンバ内に導入されるとき、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルをエッチングするために、約1〜約100sccmの流速でチャンバ内に導入される。
【0039】
処理ガスの個々の及び全体のガス流れは、多数の処理因子例えば処理チャンバの大きさ、処理される基板の大きさ及びオペレーターによる所望のプロファイルに基づいて、変更されてもよい。
【0040】
通常、処理チャンバ圧力は、約2ミリTorr〜約50ミリTorrの範囲に維持される。エッチングプロセスの間は、処理チャンバ圧力を約3ミリTorr〜約20ミリTorr、例えば3ミリTorr〜10ミリTorrの範囲に維持してもよい。
【0041】
基板は、プロセス中、一般に約150℃以下の温度に維持される。上述した処理ガスでフォトリソグラフィレチクルを製造する間、約150℃以下の基板温度で、基板上に堆積するレジスト材料のような材料の最小熱劣化を有することが判っている。約20℃〜約150℃の範囲、好適には約20℃〜約50℃の基板温度は、基板表面に堆積する材料の最小熱劣化でフォトマスクフィーチャをエッチングするために使用されてもよい。また、エッチングプロセスの間、重合反応を制限する基板温度が不動態化ポリマーデポジットの形成を制御するのに役立つと思われる。さらに、処理チャンバの側壁は約70℃未満温度で維持され、ドームは約80℃未満の温度で維持され、これにより、一定の処理条件が維持され、処理チャンバ表面上の重合形成を最小にする。
【0042】
通常、約1000ワット以下のRF供給源パワーレベルが誘導コイルに適用され、エッチングプロセスの間、処理ガスのプラズマが発生及び維持される。約200ワット〜約1000ワットの間、例えば約250ワット〜約500ワットの間のパワーレベルは、基板表面にエッチングするのに十分な処理ガスの十分なプラズマを提供することが見出されている。挙げられたRF供給源パワーレベルは、基板上に配置された光学的に透明な材料の露出した部分をエッチングするために、処理ガスから十分なエッチングラジカル及び重合ラジカルを生成することが見出されており、同時に、約150℃以下の基板温度における従来技術の金属エッチングプロセスと比較して、十分に低い電力レベルが提供される。
【0043】
通常、約500ワット未満のバイアス電力例えば約200ワット以下が基板に適用され、基板表面に関してエッチングラジカルの指向性が増大される。75ワット未満例えば約10ワット〜約70ワットの間のバイアス電力は、エッチングラジカルの速度を速めるエッチングプロセスで使用されてもよく、基板表面に関してより指向性を提供し、これにより、異方性エッチングを生じる。ここで記述されるプロセスの1つの実施形態において、RF供給源電力は約200ワット以上のパワーレベルで適用され、バイアス電力は約200ワット以下のパワーレベルで適用される。
【0044】
露出された減衰材料は、処理ガスのプラズマによって約15秒〜約300秒、例えば約30秒〜約270秒の間エッチングされてもよい。減衰材料は、処理ガスのプラズマによって約10秒〜約270秒、例えば約90秒〜約205秒の間曝されてもよい。
【0045】
あるいは、ここで記述される材料の各エッチングプロセス後にオーバーエッチングステップを行ってもよく、基板から所望の材料全ての除去を確実にする。1つの態様において、オーバーエッチングは付加的な時間の間、同じ処理ガス及び処理条件を使用してもよい。オーバーエッチングプロセスは、約10%〜約60%の間の時間、例えば約25%〜約50%の光学的に透明な材料のエッチング時間の追加時間の間実施してもよい。
【0046】
ここで記述されるエッチングプロセスはまた、「側部」から独立した、又はフィーチャレジスト材料内の「頂部」または上部表面レジスト材料を除去するものと認められ、異方性エッチング及び改善されたフィーチャ形成と一貫性のあるものである。その上に、処理された基板は、生成したフィーチャ寸法がほとんど垂直な輪郭すなわち、フィーチャの側壁及びフィーチャの底部が従来の結果である約85度から約88度に比べて、約90度の角度を有する。
【0047】
さらに、エッチング化学物質及び処理条件はまた、シリコンを含む誘電体層をエッチングする場合にも適用されてもよい。ここで、シリコンを含む誘電体層は、酸化ケイ素、ケイ化チタン及び窒化ケイ素と同様に、他のシリコンベース材料例えばドープされていないシリケートガラズ、ホスホシリケートガラズ、ボロフォスフェートシリケートガラズである。
【0048】
図3Dを参照して、上述された処理の概略は、減衰材料320をエッチングして、フォトマスクのフィーチャを画成する。このプロセスによって形成される位相シフトフィーチャ325は、真っ直ぐな側壁、フラットで平らな底部及び高いプロファイル角を有する。一旦減衰材料320のエッチングが終了したならば、フィーチャ325を囲む残っているレジスト材料3は、例えば酸素プラズマ又は当業界で知られている既知名レジスト除去技術によって除去される。
【0049】
次に、金属層330はエッチングされてもよく、最初の堆積、現像によって下層の減衰材料層320が露出され、図3Eに示すステップ270において、第2フォトレジスト材料350をパターンエッチングし、フィーチャ325を画成する下層の金属層330を露出する。第2のフォトレジスト材料350は、金属層330をエッチングするために、金属フィーチャ355にパターン化される。フォトレジスト材料350は、厚さ約200nmの奥行きに置かれるが、どんな厚さでもよく、金属層330でエッチングされるフィーチャの深さと少なくとも同じ厚さが望ましく、これにより、フォトリソグラフィレチクルが形成される。
【0050】
次に、金属層330の露出部分は、金属エッチングのためにここで記述されたようにエッチングされ、図3Fで示すように、ステップ280で減衰材料層320の下層の部分が露出される。次に、エッチングされた金属は、上述したように、通過する光の位相を変更する位相シフトフィーチャ365を画成し、これにより、フォトリソグラフィプロセスでフィーチャを形成する際、ディフラクションを減少し解像度を改善する。オーバーエッチングプロセスは、減衰材料層320から金属層330材料の除去を完全にするために使用されてもよい。第2フォトレジスト材料350はここで記載されたように剥ぎ取られてもよく、減衰位相シフトフォトリソグラフィレチクルを形成する。
実施例:
ここで記述されるプロセスの1つの広い実施例において、MoSi層にエッチングするエッチングプロセスの間、塩素ガスは約15sccm〜約50sccmの間の流速で処理チャンバに導入され、トリフルオロメタン(CHF3)は約1sccm〜約35sccmの間の流速で処理チャンバに導入される。アルゴンは、任意に約25sccm〜約100sccmの間の流速で処理チャンバに導入される。
【0051】
通常、処理チャンバ圧力は、約2ミリTorr〜約30ミリTorr、例えば約3ミリTorr〜約10ミリTorrの間で維持される。約250ワット〜約500ワットの間のRF電力源は、誘導コイルに適用され、エッチングプロセスの間、処理ガスのプラズマが発生され、維持される。約10ワット〜約100ワットの間、たとえば約13ワット〜約70ワットのバイアス電力は、基板支持部に適用される。エッチングプロセスは、約30秒〜約180秒の間で実施される。オーバーエッチングは、本来のエッチングプロセスにおける時間の約10%〜約55%の間の時間で実施されてもよい。
【0052】
基板温度は、エッチングプロセスの間、約20℃〜約100℃の間である。その上に、処理チャンバ10の側壁15は、約70℃未満の温度で維持され、ドームは約80℃未満の温度で維持される。上述された処理方式パラメータの下で、MoSi材料320は、処理ガスの組成及び処理チャンバの構造に従い、約100オングストローム/分〜約1000オングストローム/分の間の速度でエッチングすることができる。
【0053】
本発明の一実施形態の他の実施例において、Cl2及びCHF3を含む処理ガスは約25sccm〜約25sccmの流速でそれぞれ処理チャンバに導入され、処理チャンバは約3Torrの圧力で維持される。約400ワットのRF電力源は誘導コイルに適用されて処理中プラズマが発生、維持され、約70ワットのバイアス電力が基板支持部に適用され、エッチングプロセスの制御性が増進される。基板は約50℃〜約80℃の間の温度で維持され、処理チャンバの側壁は約70℃の温度で維持され、ドームは約80℃の温度で維持される。50%のオーバーエッチングは、本来のエッチングの後に実施された。
【0054】
MoSi及びフォトレジストのエッチングレートはCHF3濃度を増大することで減少することが観察され、フォトレジストに対するMoSiの選択性はCHF3濃度を増大することで増大することが観察された。
他の実施例において、減衰材料ケイ化モリブデン(MoSi)で作製された基板及びこの基板上に配置され約100ナノメートルのクロムフォトマスク層を含むフォトリソグラフィレチクルは、レジスト堆積のために処理チャンバ内に導入される。レジスト例えばZEP(日本のTokyo−Okaから商業的に入手できる)、又は化学的に増強されたレジスト、又は日本のTokyo−Okaから商業的に入手できるCARは、オキシ窒化クロム上に堆積され、次いで、従来のレーザー又は電子ビームパターニング装置を使用してパターン化される。基板に堆積されたレジストは、約200nm〜約600nmの厚さ、例えば約300nm〜約400nmの間の厚さであるが、所望のどんな厚さでもよい。クロム層はエッチングされMoSi材料が露出し、残余のフォトレジストは除去される。第2レジスト層が堆積され、パターニングされてMoSi材料が露出する。
【0055】
調製された基板は、次に、DPS(登録商標)プラズマエッチングチャンバ内に導入された。処理汚染物を除去するために粗清浄化ステップを基板上で実施した後、約10ミリTorrのチャンバ圧力で維持されているチャンバ内へ約30sccmの流速で酸素ガスを導入することによりエッチングプロセスを実施し、約200ワットで約60秒間プラズマを照射した。
【0056】
レチクルは、エッチングチャンバ例えば上述したDPS(登録商標)金属エッチングチャンバ内に置かれる。上述のようにパターン化された基板はエッチングチャンバのカソードペデスタル上に置かれ、チャンバは約3ミリTorrの圧力で維持された。プラズマは、約400ワットのパワーレベルで、RF電圧を誘導コイルに適用することによって発生した。約70ワットのバイアス電力をカソードペデスタルに適用した。トリフルオロメタン(CHF3)を25sccm、塩素ガス(Cl2)を25sccm、全流速50sccmの下、MoSi材料のエッチングを約70秒間行った。
【0057】
上述では本発明の好適な実施形態について説明したが、特許請求の範囲によって規定される本発明の範囲から逸脱することなく、本発明の他の実施形態が案出されてもよい。
【図面の簡単な説明】
【0058】
【図1】本明細書に記述されるプロセスで使用される典型的なエッチングチャンバの概略図である。
【図2】本発明の一実施形態による基板の処理手順を示すフローチャートである。
【図3A】本発明の一実施形態によるエッチング手順を示す断面図である
【図3B】本発明の一実施形態によるエッチング手順を示す断面図である
【図3C】本発明の一実施形態によるエッチング手順を示す断面図である
【図3D】本発明の一実施形態によるエッチング手順を示す断面図である
【図3E】本発明の一実施形態によるエッチング手順を示す断面図である
【図3F】本発明の一実施形態によるエッチング手順を示す断面図である
【0001】
関連出願への相互参照
この出願は、2002年5月14日に出願された米国暫定特許出願番号第60/380,493号の利益を主張し、この出願を参照して本明細書に組み入れる。
本発明の分野
本発明は、集積回路の作製、及び集積回路の製造に有用なフォトマスクの作製に関する。
【背景技術】
【0002】
半導体装置の形状は、数十年前に初めて導入されて以来、そのおおきさが劇的に減少した。それ以来、集積回路は一般に2年/半分のサイズの法則(よくムーアの法則と呼ばれる)に従ってきた。これは、チップ上のデバイスの数が2年毎に2倍になることを意味する。今日の製作プラントは、型通りに0.15μm及び0.13μmのフィーチャサイズを有するデバイスを製造しており、将来のプラントは、より小さいデバイスを製造するだろう。
【0003】
回路密度を増大することは、半導体装置を製造するために使用されるプロセスに、付加的な需要を有する。例えば、回路密度が増大するにつれて、バイア、コンタクト及び他のフィーチャの幅と同様に、これらの間の誘電材料もまた、サブミクロン単位に減少する。一方、誘電体層の厚さは実質的に一定に維持され、その結果、フィーチャについてのアスペクト比(すなわち、フィーチャの高さ割る幅)は、増大する。高アスペクト比フィーチャの信頼できる形成は、サブミクロン技術の成功に重要であり、回路密度及び個々の基板のクォリティーを増大する継続的な努力に重要である。
【0004】
高アスペクト比フィーチャは、従来、基板表面をパターニングしてフィーチャのディメンションを画成し、次いで、基板をエッチングして材料を除去し、フィーチャを画成することにより形成していた。幅に対する所望の高さ比を有する高アスペクト比フィーチャを形成するために、フィーチャの寸法は所定のパラメータの範囲内で形成されることが要求され、これは、フィーチャの臨界寸法として代表的に特徴付けられる。従って、所望の臨界寸法を有する高アスペクト比フィーチャの信頼できる形成には、正確なパターニング及び引き続く基板のエッチングを必要とする。
【0005】
フォトリトグラフィーは、基板表面上に正確なパターンを形成するために使用される技術であり、パターンが形成された基板表面は続いてエッチングされ、所望のデバイス又はフィーチャが形成される。フォトリトグラフィー技術は、光パターン及び基板表面に堆積されたレジスト材料を使用し、エッチングプロセスの前に、基板表面上の正確なパターンを現像する。従来のフォトリソグラフィプロセスにおいて、レジストはエッチングされる層の上に適用され、層内のエッチングされるフィーチャ、例えばコンタクト、バイアまたは相互接続は、その上にフォトマスクを有するフォトリソグラフィレチクルを介して光のパターンにレジストを露光することにより、画成される。フォトマスク層は、フィーチャの所望の形状に対応する。例えば紫外線(UV)又は弱いX線光を照射する光源は、レジストの組成を変えるためにレジストを露光するのに使用されてもよい。通常、露光されたレジスト材料は、下層の基板材料を露出させるために、化学プロセスによって除去される。次に、露出された下層の基板材料は、エッチングされて基板表面にフィーチャを形成する。一方、保持されたレジスト材料は、露出していない下層の基板材料の保護皮膜として残る。
【0006】
フォトリソグラフィのレチクルは、一般に光学的に透明な材料、例えば石英(すなわち二酸化ケイ素、SiO2)で作製される基板を含む。この基板は、金属、典型的にはクロムの不透明な光−シールド層を基板表面上に配置される。光−シールド層は、基板に伝達されるフィーチャに対応してパターン化される。通常、従来のフォトリソグラフィのレチクルは、最初に光学的に透明な材料例えば石英を含む基板上に薄い金属層を堆積させ、薄い金属層上にレジスト層を堆積することにより製造する。次に、レジストは従来のレーザーまたは電子ビームパターニング装置を使用してパターン化され、金属層に伝達される臨界寸法を画成する。次に、金属層はエッチングされ、パターン化されたレジストによって保護されていない金属材料が除去される。これにより、下層の材料が露出し、パターン化されたフォトマスク層が形成される。フォトマスク層は、基板表面上へ正確なパターンで光が通過するのを可能とする。
【0007】
現在の回路密度を達成するために、減衰位相シフトフォトマスクが使用されており、フォトマスクを通過する光の解像度を増大することによって、基板上に形成されるエッチングパターンの精度を増加している。減衰位相シフトフォトマスクは、金属フォトマスク層の堆積前に減衰材料層を堆積させることによって作製される。次に、減衰材料層は、レジスト材料を含むリソグラフィープロセスを使用してエッチングされ、これにより、入射光の位相を180度シフトするフィーチャを形成する。光の位相のシフトは、結果として光を相殺して光のデフラクションを除去又は減少し、光の改善された解像度が提供される。所望の解像度を生成すべく光を修正するために、基板の減衰材料層に形成されるエッチングされたフィーチャは、フィーチャ構造に最小量の欠陥を伴い、基板に正確に形成されなければならない。
【0008】
減衰材料は一般にシリコンベースの材料であり、シリコンベースの材料例えば半導体製造における誘電体層のために使用される材料のために、現在のエッチングプロセスは、減衰材料内でフィーチャをエッチングすることは不適当であることが判明した。
【0009】
例えば、シリコンベース材料をエッチングするために使用されるフッ素ベースのエッチング化学物質は、良質のフォトマスクを生成しなかった。これは、化学物質及び処理条件が受容できるフィーチャ精度でエッチングできなかったためである。このような例において、レジスト材料に形成される開口側壁のオーバーエッチング又は不正確なエッチングが、減衰材料層の寸法を画成していた。レジスト材料の過剰なサイド除去は、パターン化されたレジストフィーチャの臨界寸法の損失となる。これは、パターン化されたレジスト層によって画成される金属層内に形成されるフィーチャの臨界寸法の損失に対応するかもしれない。
【0010】
したがって、欠陥形成を最小にするために光学的に透明な材料をエッチングし、真っ直ぐな側壁、平らな底部、高いプロファイル角及び改善されたエッチング選択性を有するフィーチャを形成する、化学物質及びプロセスが求められている。
【考案の開示】
【0011】
本発明は、一般に光学的に透明な材料を含むフォトリソグラフィのレチクルにエッチングする方法を提供する。1つの態様において、基板をエッチングする方法が提供され、この方法は、処理チャンバ内の支持部材上にレチクルを配置するステップであって、ここで、レチクルは、光学的に透明な材料上に配置される減衰材料層、減衰材料層上に形成されるパターン化された金属フォトマスク層、及び金属フォトマスク層上に堆積されるパターン化されたレジスト材料を含む、レチクルを配置するステップと、1つ以上のフッ素含有重合材料及び1つ以上の塩素含有ガスを処理チャンバ内に導入するステップと、前記処理チャンバに電力を供給し、RF電力源をコイルに適用しバイアス電力を支持部材に適用することによってプラズマを発生させるステップと、及び、減衰材料層の露出部分をエッチングするステップと、を含む。
【0012】
他の態様において、光学的に透明な材料上に配置される減衰材料層、減衰材料層上に形成されパターン化された金属フォトマスク層及びパターン化された金属フォトマスク層上に堆積されるパターン化されたレジスト材料を含むレチクルをエッチングする方法が提供される。この方法は、処理チャンバ内の支持部材上にレチクルを配置するステップであって、前記レチクルは、約150℃未満の温度で維持される、ステップと、塩素ガス及び1
つ以上のフッ素を含む炭化水素を含み、一般式CXHYFZを有する処理ガスを導入するステップであって、ここでxは1から5の整数、yは1から8の整数、zは1から8の整数である、ステップと、処理チャンバに電力を供給してプラズマを発生させるステップと、露出した減衰材料層の部分をエッチングするステップと、を含む。
【0013】
他の態様において、フォトリソグラフィプロセスのためのレチクルを製造する方法が提供される。この方法は、減衰材料層上に配置される金属層をパターニングし、減衰材料層露光するステップと、パターン化された金属層上のレジスト層を堆積してパターニングするステップと、エッチング処理チャンバ内の支持部材上にフォトマスクを配置するステップと、1つ以上のフッ素を含む重合材料及び1つ以上の塩素含有ガスを含むガスを処理チャンバ内に導入するステップと、エッチング処理チャンバに隣接して配置されたコイルにRF電力源を適用し、処理チャンバ内にプラズマを発生させるステップと、減衰材料層の露出した部分をエッチングするステップと、を含む。
【発明を実施するための最良の形態】
【0014】
本発明の特徴、利点及び目的は上述のように達成され、本発明の特定な記載、上述の要約は、添付の図面で示される実施の形態を参照して、より詳細に理解されるであろう。
【0015】
しかしながら、添付の図面は、本発明の代表的な実施形態だけを示しており、従って、本願発明はその範囲に制限されるものではなく、本願発明は、他の同様に効果的な実施形態をも包含するものである。
【0016】
本発明の態様は、誘導結合プラズマエッチングチャンバに関して、以下で記述される。適切な誘導結合プラズマエッチングチャンバは、ヘイワード(カリフォルニア)のETECから入手できるETECTetra(登録商標)が含まれ、任意には、サンタクララ(カリフォルニア)のアプライドマテリアルズ社から入手できるデカップリングソース(DPS(登録商標))チャンバを含む。他のプロセスチャンバは、本発明のプロセスを実施するために使用されてもよく、例えば、静電結合平行板チャンバ及び異なるサイズの誘導結合プラズマエッチングチャンバにみならず、磁気増速イオンエッチングチャンバも含まれる。プロセスはETECTetra(登録商標)フォトマスクエッチングチャンバで有利に実施されるが、DPS(登録商標)処理チャンバと関連した記載は例示であり、本発明の態様の範囲をこの記載に限定して解釈すべきではない。
【0017】
図1は、本明細書で記述されるプロセスを実施するために使用されるDPS(登録商標)処理チャンバの一実施形態の概略断面図である。処理チャンバ10は、一般に円筒状側壁またはチャンバ本体12、この本体12に取り付けられたエネルギー透過性ドームシーリング13、及びチャンバ底部17を含む。誘導コイル26は、少なくともドーム13の部分の周囲に配置される。処理チャンバ10のチャンバ本体12及びチャンバ底部17は、金属例えば陽極酸化アルミニウムで作製することができ、ドーム13は、セラミックまたは他の誘電材料のようなエネルギー透過性材料で作製することができる。
【0018】
基板支持部材16は、処理の間、基板20を支持するために処理チャンバ10内に配置される。支持部材16は、支持部材16の部分が導電性でプロセスバイアスカソードとしての役割を果たし得る、従来の機械的又は静電的チャックでであってもよい。図示しないが、レチクルアダプターは支持部材16上にレチクルを固定するために使用されてもよい。レチクルアダプターは、一般に支持部材の上部部分及びレチクルを保持する大きさと形状の開口を有する頂部部分を覆うように成型された下部部分を含む。適切なレチクルアダプターは米国特許第6,251,217(2001年6月26日に発行)において開示されており、その記載は本発明の態様及び請求の範囲と一致していない範囲において、参照して本明細書に組み入れる。
【0019】
処理ガスは、支持部材16の外周に配置されるガス分配装置22を通して、プロセスガス源(図示しない)から処理チャンバ10に導入される。マスフローコントローラ(図示しない)は、各処理ガスのためまたは処理ガスの混合物のために処理チャンバ10及びプロセスガス源の間に配置され、それぞれ処理ガスの流速を管理する。マスフローコントローラは、各処理ガスまたは処理ガス混合物のために約1000sccmまでの流速を調節することができる。
【0020】
プラズマゾーン14は、プロセスチャンバ10、基板支持部材16及びドーム13のそばで画成される。プラズマは、コイル電源27を使用して処理ガスからプラズマゾーン14において形成され、コイル電源27は、電磁場を発生させるために誘導コイル26に電力を供給する。支持部材16は、その中に電極を含み、電極は、電極電源28によって電力を供給され、処理チャンバ10内に容量性電界を発生させる。一般に、RF電力は支持部材16内で電極に適用され、同時に本体12は電気的に接地される。容量性の電界は、支持部材16の平面に対して直角であり、基板20によりより垂直な荷電種の指向性に影響を与え、基板20により垂直な指向異方性エッチングを提供する。
【0021】
プロセスガス及びエッチング液副産物は、排出システム30を通してプロセスチャンバ10から排出される。排出システム30は、処理チャンバ10の底部17に配置されてもよく、または処理ガスの除去のために処理チャンバ10の本体12内に配置されてもよい。絞り弁32は、処理チャンバ10内の圧力を制御するために排出口34に設けられる。光学終点測定装置は、チャンバで実施されるプロセスの終点を決定するために、処理チャンバ10に接続することができる。
【0022】
以下のプロセスの記載は、本明細書で記載される処理ガスによって基板をエッチングする一実施形態を示す。
異なる装置例えば異なるエッチング装置及び異なるレチクルサイズ例えば9インチの基板処理に適切なフォトリソグラフィのレチクルのために、本発明はここで記述される範囲外のプロセスパラメータの使用を意図する。
典型的なエッチングプロセス
フォトマスクを製造する際に使用される減衰材料、例えばケイ化モリブデン(MoSi)またはモリブデンオキシ窒化ケイ素(MoSiON)を含むシリコンベースの材料は、鋭い角度プロファイル及び平らなフィーチャ底部を有する真っ直ぐな側壁を持つフィーチャを生成する。光学的に透明な材料をエッチングする際に使用される処理ガスは、(i)1つ以上のフッ素含有炭化水素ガス、(ii)塩素含有ガス、及び任意な(iii)希ガスを含む。
【0023】
図2は、本明細書で記述される処理ガスを用いてエッチングプロセス200を行う一実施形態のフローチャートを示す。図3A−3Fは、プロセス200で記述されるような本発明の一実施形態のエッチング手順を示す断面図である。フローチャートは、例示の目的で提供されるものであり、本発明の態様の範囲を制限するように解釈すべきではない。
【0024】
基板は、ステップ210で処理チャンバ例えば図1のDPS(登録商標)処理チャンバ10に提供される。図3Aを参照する、レチクル300は、光学的に透明な材料310のベース材料例えば光学クォリティー石英、フッ化カルシウム、アルミナ、サファイヤまたはこれらの組合わせ、典型的には光学クォリティー石英材料を含む。
【0025】
次に、減衰材料層320は、ステップ220で光学的に透過な材料310上に堆積される。減衰材料は、ケイ化モリブデン、モリブデンオキシ窒化ケイ素(MoSiXNYOZ)、これらの組合わせ、または光が透過する際に位相を変更又はシフトする既知又は未知の材料を含んでもよい。減衰材料は、それを通過する光の位相を180度シフトする。光の位相シフトは、透過する光と干渉を生じるものと考えられ、結果として光のキャンセル及びそれを通過する光のデフラクションを減少する。一般に、光の約5%〜約18%が減衰材料層を透過する。減衰材料は、フォトリソグラフィプロセス例えば248nm及び193nmの波長で使用される光を加減するために使用されてもよい。
【0026】
減衰材料は、約50nm〜約100nmの間の厚さで堆積されてもよい。しかし、減衰材料層の厚さは、プロセス条件例えば光源として使用される照射量又はタイプに基づいて、増減してもよい。減衰材料層は、当業界で知られている従来の方法例えば化学気相堆積(CVD)によって堆積してもよい。
【0027】
次にステップ230で、不透明なコンフォーマル金属層がフォトマスクとして基板上に堆積処理される。金属例えばクロムのフォトマスク層330は、図3Aに示すように、減衰材料層320上に堆積される。金属層は、当業界で知られている従来法例えば物理的気相堆積法(PVD)または化学的気相堆積法(CVD)技術によって堆積されてもよい。金属層330は、典型的には約50〜約100ナノメートル(nm)の間の厚さで堆積される。しかしながら、層の奥行きは、製造条件及び基板または金属層の材料の組成に基づいて変更されてもよい。本発明は、本明細書に記載されるプロファイルで使用するフォトマスクとして、他の材料例えば非金属無機材料又は有機材料の使用を意図する。
【0028】
金属層に形成されるフィーチャの寸法は、ステップ240で第1レジスト材料340を堆積、現像及びパターンエッチングすることによりパターン化され、図3Bで示すコンフォーマルな金属層330を露出するレジストフィーチャ345を形成する。フォトリソグラフィのレチクル作製で使用されるレジスト材料は、通常低温レジスト材料であり、約250℃を超える温度で熱的に劣化する材料として定義する。レジスト材料は、光学的にパターン化されてもよく、すなわちフォトレジスト材料であり、または他の放射性エネルギーパターニング装置例えばイオンビームエミッターによってパターン化されてもよく、又は電子ビームレジスト材料である。レジスト材料340の層例えばフォトレジスト「RISTON」は、duPontdeNemours化学会社によって製造され、厚さ約200〜600nmの間の厚さで金属層330上に堆積される。次にレジスト材料340は、従来のレーザー又は電子ビームパターニング装置を使用してパターンエッチングされ、金属層330及び減衰材料層320内で形成されるフィーチャの寸法を画成するフィーチャ345を形成する。
【0029】
次にフィーチャ335は、図3Cで示すように、ステップ250でコンフォーマル金属層330をエッチングすることにより基板内に形成され、下層の減衰材料層320を露出する。フィーチャ335は、一実施形態において基板300をエッチングチャンバに移すことによってエッチングされ、金属層330は当業界で既知の金属エッチング技術を使用し、または新規に開発されるかもしれない技術によってエッチングされる。金属エッチングプロセスの一例は、2001年12月18日に出願された米国特許出願番号第10/024,958号に詳細に記述されている。この出願の発明の名称は、「改善されたエッチングバイアスによりフォトリソグラフィレチクルを製造するためのエッチングプロセス」であり、本願特許請求の範囲に記載され開示された範囲と一致しない範囲において、参照して本明細書に組み入れる。金属層330は、一つ以上のプロセスステップでエッチングされてもよい。
【0030】
金属層330のエッチングが完了した後、残ったフォトレジスト材料340は、例えば酸素プラズマプロセスまたは当業界で知られている他のレジスト除去技術によって基板300から除去される。レジスト材料除去ステップは任意であり、次のエッチングの間、基板上で保持されてもよい。
【0031】
次に、減衰材料層320は、(i)1つ以上のフッ素を含有する重合材料、(ii)塩素を含有するガス、及び任意に(iii)不活性ガスを含む処理ガスにっよってエッチングされてもよく、図3Dに示すように、ステップ260でフィーチャ325を形成する。重合制限ガス又は重合抑制ガスもまた、処理ガス中に含まれてもよい。エッチングプロセスを実施するために、基板300は次にDPS(登録商標)処理チャンバに移され、そこで処理ガスが処理チャンバに導入され、プラズマを発生させる。
【0032】
1つ以上のフッ素を含有する重合ガスは、1つ以上のフッ素含有炭化水素、水素を含まないフッ素含有ガス又はこれらの組み合わせを含んでもよい。1つ以上のフッ素を含む炭化水素は一般式CXHYFZであり、ここで、xは炭素原子の1から5の整数、yは水素原子の1から8の整数、zはフッ素原子の1から8の整数である。フッ素を含む炭化水素ガスに例には、CHF3、CH3F、CH2F2、C2HF5、C2H4F2及びこれらの組合わせが含まれる。炭素1〜2原子、水素1〜4原子及びフッ素1〜5原子を有するフッ素含有炭化水素ガス例えばCHF3は、好適には光学的に透過な材料をエッチングする時に使用される。
【0033】
水素を含まないフルオロカーボンは、炭素1〜5原子及びフッ素4〜8原子を含むことができる。水素を含まないフルオロカーボンガスの例は、CF4、C2F6、C4F6、C3F8、C4F8、C5F8及びこれらの組合わせを含む。任意に、処理ガスは、付加的なエッチングガス例えば六フッ化硫黄(SF6)のようなフッ化硫黄を含んでもよい。
【0034】
フッ素含有重合材料は、パターン化されたレジスト材料及びエッチングされた光学的に透明な材料に形成されたフィーチャの表面、特に側壁にパッシベーション重合デポジットを形成するために有利に使用される。不動態化ポリマーデポジットは、フィーチャの過度のエッチングを防ぎ、これにより、所望の臨界寸法を有するフィーチャを生成する。1つ以上のフッ素含有炭化水素のプラズマは、酸化性ガスが存在することなく基板300上の減衰材料320をエッチングするフッ素含有種を生成する。
【0035】
塩素を含有するガスは、塩素(Cl2)、四塩化炭素(CCl4)、塩酸(HCl)及びこれらの組み合わせからなる群から選択され、好適にはCl2であり、光学的に透明な材料をエッチングする高い反応性のラジカルを供給するために使用される。塩素含有ガスはエッチングラジカル源を提供し、水素または炭素含有塩素含有ガスは、不動態化ポリマーデポジットを形成する材料の源を提供してもよく、これはエッチングバイアスを改善するかもしれない。
【0036】
処理ガスはまた、処理ガスを含むプラズマの一部としてイオン化される際に不活性ガスを含んでもよく、結果としてスパッタリング種におけるフィーチャのエッチング速度を増大する。プラズマの一部としての不活性ガスの存在はまた、処理ガスの解離を増進するかもしれない。その上に、プロセスガスに加えられる不活性ガスは、イオン化スパッタリング種を形成し、さらに、新たにエッチングされたフィーチャの側壁に形成されたポリマーデポジットをスパッタリング除去するかもしれない。これにより、種々の不動態化デポジットを減少し、制御可能なエッチング速度を提供する。処理ガスへ不活性ガスを含有させることにより、改善されたプラズマ安定性及びエッチング均一性が提供されることが判った。不活性ガスの例は、アルゴン(Ar)、ヘリウム(He)、ネオン(Ne)、キセノン(Xe)、クリプトン(Kr)及びこれらの組合わせを含み、アルゴン及びヘリウムが一般に使用される。
【0037】
1つの例において、処理ガスは、塩素(Cl2)ガス、トリフルオロメタン(CHF3)及びアルゴンを不活性ガスとして含む。処理ガスは、1つ以上の重合制限ガス例えば酸素、オゾン、窒素またはこれらの組合わせを任意に含んでもよく、基板上の不動態化ポリマーデポジットの形成及び除去を制御することにより、処理ガスのエッチング速度を制御するために使用してもよい。酸素含有ガスは、ポリマーの形成を減少する他の化学種と反応するフリーな酸素種の形成を増進し、前記ポリマーはエッチングされたフィーチャ表面上に不動態化デポジットとして堆積する。例えば、酸素ガスはプラズマプロセスの一部のラジカル例えばCF2と反応し、揮発性のラジカル例えばCOF2を形成し、プロセスチャンバから排出される。
【0038】
不活性ガス及び任意なガスを含む処理ガス全体の流速は、約15sccmを超える流速、例えば約15sccm〜約200sccmの間の流速で導入され、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルがエッチングされる。塩素含有ガスは、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルをエッチングするために、約5sccm〜約100sccmの間の流速で処理チャンバ内に導入される。フッ素含有重合材料が処理チャンバに導入されるとき、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルをエッチングするために、約1sccm〜約50sccmの流速で処理チャンバ内に導入される。不活性ガスが処理チャンバに導入されるとき、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルをエッチングするために、約0sccm〜約100sccmの間の流速で処理チャンバ内に導入される。重合制限ガスが処理チャンバ内に導入されるとき、エッチングチャンバ内で150mmx150mm平方のフォトリソグラフィレチクルをエッチングするために、約1〜約100sccmの流速でチャンバ内に導入される。
【0039】
処理ガスの個々の及び全体のガス流れは、多数の処理因子例えば処理チャンバの大きさ、処理される基板の大きさ及びオペレーターによる所望のプロファイルに基づいて、変更されてもよい。
【0040】
通常、処理チャンバ圧力は、約2ミリTorr〜約50ミリTorrの範囲に維持される。エッチングプロセスの間は、処理チャンバ圧力を約3ミリTorr〜約20ミリTorr、例えば3ミリTorr〜10ミリTorrの範囲に維持してもよい。
【0041】
基板は、プロセス中、一般に約150℃以下の温度に維持される。上述した処理ガスでフォトリソグラフィレチクルを製造する間、約150℃以下の基板温度で、基板上に堆積するレジスト材料のような材料の最小熱劣化を有することが判っている。約20℃〜約150℃の範囲、好適には約20℃〜約50℃の基板温度は、基板表面に堆積する材料の最小熱劣化でフォトマスクフィーチャをエッチングするために使用されてもよい。また、エッチングプロセスの間、重合反応を制限する基板温度が不動態化ポリマーデポジットの形成を制御するのに役立つと思われる。さらに、処理チャンバの側壁は約70℃未満温度で維持され、ドームは約80℃未満の温度で維持され、これにより、一定の処理条件が維持され、処理チャンバ表面上の重合形成を最小にする。
【0042】
通常、約1000ワット以下のRF供給源パワーレベルが誘導コイルに適用され、エッチングプロセスの間、処理ガスのプラズマが発生及び維持される。約200ワット〜約1000ワットの間、例えば約250ワット〜約500ワットの間のパワーレベルは、基板表面にエッチングするのに十分な処理ガスの十分なプラズマを提供することが見出されている。挙げられたRF供給源パワーレベルは、基板上に配置された光学的に透明な材料の露出した部分をエッチングするために、処理ガスから十分なエッチングラジカル及び重合ラジカルを生成することが見出されており、同時に、約150℃以下の基板温度における従来技術の金属エッチングプロセスと比較して、十分に低い電力レベルが提供される。
【0043】
通常、約500ワット未満のバイアス電力例えば約200ワット以下が基板に適用され、基板表面に関してエッチングラジカルの指向性が増大される。75ワット未満例えば約10ワット〜約70ワットの間のバイアス電力は、エッチングラジカルの速度を速めるエッチングプロセスで使用されてもよく、基板表面に関してより指向性を提供し、これにより、異方性エッチングを生じる。ここで記述されるプロセスの1つの実施形態において、RF供給源電力は約200ワット以上のパワーレベルで適用され、バイアス電力は約200ワット以下のパワーレベルで適用される。
【0044】
露出された減衰材料は、処理ガスのプラズマによって約15秒〜約300秒、例えば約30秒〜約270秒の間エッチングされてもよい。減衰材料は、処理ガスのプラズマによって約10秒〜約270秒、例えば約90秒〜約205秒の間曝されてもよい。
【0045】
あるいは、ここで記述される材料の各エッチングプロセス後にオーバーエッチングステップを行ってもよく、基板から所望の材料全ての除去を確実にする。1つの態様において、オーバーエッチングは付加的な時間の間、同じ処理ガス及び処理条件を使用してもよい。オーバーエッチングプロセスは、約10%〜約60%の間の時間、例えば約25%〜約50%の光学的に透明な材料のエッチング時間の追加時間の間実施してもよい。
【0046】
ここで記述されるエッチングプロセスはまた、「側部」から独立した、又はフィーチャレジスト材料内の「頂部」または上部表面レジスト材料を除去するものと認められ、異方性エッチング及び改善されたフィーチャ形成と一貫性のあるものである。その上に、処理された基板は、生成したフィーチャ寸法がほとんど垂直な輪郭すなわち、フィーチャの側壁及びフィーチャの底部が従来の結果である約85度から約88度に比べて、約90度の角度を有する。
【0047】
さらに、エッチング化学物質及び処理条件はまた、シリコンを含む誘電体層をエッチングする場合にも適用されてもよい。ここで、シリコンを含む誘電体層は、酸化ケイ素、ケイ化チタン及び窒化ケイ素と同様に、他のシリコンベース材料例えばドープされていないシリケートガラズ、ホスホシリケートガラズ、ボロフォスフェートシリケートガラズである。
【0048】
図3Dを参照して、上述された処理の概略は、減衰材料320をエッチングして、フォトマスクのフィーチャを画成する。このプロセスによって形成される位相シフトフィーチャ325は、真っ直ぐな側壁、フラットで平らな底部及び高いプロファイル角を有する。一旦減衰材料320のエッチングが終了したならば、フィーチャ325を囲む残っているレジスト材料3は、例えば酸素プラズマ又は当業界で知られている既知名レジスト除去技術によって除去される。
【0049】
次に、金属層330はエッチングされてもよく、最初の堆積、現像によって下層の減衰材料層320が露出され、図3Eに示すステップ270において、第2フォトレジスト材料350をパターンエッチングし、フィーチャ325を画成する下層の金属層330を露出する。第2のフォトレジスト材料350は、金属層330をエッチングするために、金属フィーチャ355にパターン化される。フォトレジスト材料350は、厚さ約200nmの奥行きに置かれるが、どんな厚さでもよく、金属層330でエッチングされるフィーチャの深さと少なくとも同じ厚さが望ましく、これにより、フォトリソグラフィレチクルが形成される。
【0050】
次に、金属層330の露出部分は、金属エッチングのためにここで記述されたようにエッチングされ、図3Fで示すように、ステップ280で減衰材料層320の下層の部分が露出される。次に、エッチングされた金属は、上述したように、通過する光の位相を変更する位相シフトフィーチャ365を画成し、これにより、フォトリソグラフィプロセスでフィーチャを形成する際、ディフラクションを減少し解像度を改善する。オーバーエッチングプロセスは、減衰材料層320から金属層330材料の除去を完全にするために使用されてもよい。第2フォトレジスト材料350はここで記載されたように剥ぎ取られてもよく、減衰位相シフトフォトリソグラフィレチクルを形成する。
実施例:
ここで記述されるプロセスの1つの広い実施例において、MoSi層にエッチングするエッチングプロセスの間、塩素ガスは約15sccm〜約50sccmの間の流速で処理チャンバに導入され、トリフルオロメタン(CHF3)は約1sccm〜約35sccmの間の流速で処理チャンバに導入される。アルゴンは、任意に約25sccm〜約100sccmの間の流速で処理チャンバに導入される。
【0051】
通常、処理チャンバ圧力は、約2ミリTorr〜約30ミリTorr、例えば約3ミリTorr〜約10ミリTorrの間で維持される。約250ワット〜約500ワットの間のRF電力源は、誘導コイルに適用され、エッチングプロセスの間、処理ガスのプラズマが発生され、維持される。約10ワット〜約100ワットの間、たとえば約13ワット〜約70ワットのバイアス電力は、基板支持部に適用される。エッチングプロセスは、約30秒〜約180秒の間で実施される。オーバーエッチングは、本来のエッチングプロセスにおける時間の約10%〜約55%の間の時間で実施されてもよい。
【0052】
基板温度は、エッチングプロセスの間、約20℃〜約100℃の間である。その上に、処理チャンバ10の側壁15は、約70℃未満の温度で維持され、ドームは約80℃未満の温度で維持される。上述された処理方式パラメータの下で、MoSi材料320は、処理ガスの組成及び処理チャンバの構造に従い、約100オングストローム/分〜約1000オングストローム/分の間の速度でエッチングすることができる。
【0053】
本発明の一実施形態の他の実施例において、Cl2及びCHF3を含む処理ガスは約25sccm〜約25sccmの流速でそれぞれ処理チャンバに導入され、処理チャンバは約3Torrの圧力で維持される。約400ワットのRF電力源は誘導コイルに適用されて処理中プラズマが発生、維持され、約70ワットのバイアス電力が基板支持部に適用され、エッチングプロセスの制御性が増進される。基板は約50℃〜約80℃の間の温度で維持され、処理チャンバの側壁は約70℃の温度で維持され、ドームは約80℃の温度で維持される。50%のオーバーエッチングは、本来のエッチングの後に実施された。
【0054】
MoSi及びフォトレジストのエッチングレートはCHF3濃度を増大することで減少することが観察され、フォトレジストに対するMoSiの選択性はCHF3濃度を増大することで増大することが観察された。
他の実施例において、減衰材料ケイ化モリブデン(MoSi)で作製された基板及びこの基板上に配置され約100ナノメートルのクロムフォトマスク層を含むフォトリソグラフィレチクルは、レジスト堆積のために処理チャンバ内に導入される。レジスト例えばZEP(日本のTokyo−Okaから商業的に入手できる)、又は化学的に増強されたレジスト、又は日本のTokyo−Okaから商業的に入手できるCARは、オキシ窒化クロム上に堆積され、次いで、従来のレーザー又は電子ビームパターニング装置を使用してパターン化される。基板に堆積されたレジストは、約200nm〜約600nmの厚さ、例えば約300nm〜約400nmの間の厚さであるが、所望のどんな厚さでもよい。クロム層はエッチングされMoSi材料が露出し、残余のフォトレジストは除去される。第2レジスト層が堆積され、パターニングされてMoSi材料が露出する。
【0055】
調製された基板は、次に、DPS(登録商標)プラズマエッチングチャンバ内に導入された。処理汚染物を除去するために粗清浄化ステップを基板上で実施した後、約10ミリTorrのチャンバ圧力で維持されているチャンバ内へ約30sccmの流速で酸素ガスを導入することによりエッチングプロセスを実施し、約200ワットで約60秒間プラズマを照射した。
【0056】
レチクルは、エッチングチャンバ例えば上述したDPS(登録商標)金属エッチングチャンバ内に置かれる。上述のようにパターン化された基板はエッチングチャンバのカソードペデスタル上に置かれ、チャンバは約3ミリTorrの圧力で維持された。プラズマは、約400ワットのパワーレベルで、RF電圧を誘導コイルに適用することによって発生した。約70ワットのバイアス電力をカソードペデスタルに適用した。トリフルオロメタン(CHF3)を25sccm、塩素ガス(Cl2)を25sccm、全流速50sccmの下、MoSi材料のエッチングを約70秒間行った。
【0057】
上述では本発明の好適な実施形態について説明したが、特許請求の範囲によって規定される本発明の範囲から逸脱することなく、本発明の他の実施形態が案出されてもよい。
【図面の簡単な説明】
【0058】
【図1】本明細書に記述されるプロセスで使用される典型的なエッチングチャンバの概略図である。
【図2】本発明の一実施形態による基板の処理手順を示すフローチャートである。
【図3A】本発明の一実施形態によるエッチング手順を示す断面図である
【図3B】本発明の一実施形態によるエッチング手順を示す断面図である
【図3C】本発明の一実施形態によるエッチング手順を示す断面図である
【図3D】本発明の一実施形態によるエッチング手順を示す断面図である
【図3E】本発明の一実施形態によるエッチング手順を示す断面図である
【図3F】本発明の一実施形態によるエッチング手順を示す断面図である
Claims (31)
- フォトリソグラフィのレチクルを処理する方法であって、
処理チャンバ内の支持部材上にレチクルを配置するステップであり、レチクルは、光学的に透明な材料上に配置された減衰材料層、前記減衰材料層上に形成されパターン化された金属フォトマスク、及び前記パターン化され金属フォトマスク上に堆積されたパターン化されたレジスト材料を含む、レチクルを配置するステップと、
1つ以上のフッ素を含有する重合材料及び1つ以上の塩素を含有するガスを処理チャンバ内に導入するステップと、
RF電力をコイルに適用しバイアス電力を支持部材に適用することによってプラズマを発生させるために、電力を処理チャンバに供給するステップと、
露出した減衰材料層の部分をエッチングするステップと、
を含む、方法。 - 減衰材料層は、ケイ化モリブデン(MoSi)、モリブデンオキシ窒化ケイ素(MoSiON)及びこれらの組合わせからなる群から選択される、請求項1に記載の方法。
- 1つ以上のフッ素含有重合材料は、一般式CXHYFZを有するフッ素含有炭化水素を含み、ここでxは1〜5の整数、yは1〜8の整数、zは1〜8の整数である、請求項1に記載の方法。
- 一般式CXHYFZを有する1つ以上のフッ素含有重合材料は、CHF3、CH3F、CH2F2、C2HF5、C2H4F2及びこれらの組合わせからなる群から選択される、請求項3に記載の方法。
- プラズマは、約200ワット〜約1000ワットの間でRF電力をコイルに適用し、約10ワット〜約200ワットの間でバイアス電力を適用することによって発生する、請求項1に記載の方法。
- 塩素含有ガスは、塩素(Cl2)、塩酸(HCl)、四塩化ケイ素(SiCl4)、三塩化ホウ素(BCl3)及びこれらの組合わせからなる群から選択される、請求項1に記載の方法。
- 処理ガスは、アルゴン、ヘリウム及びこれらの組合わせからなる群から選択される不活性ガスをさらに含む、請求項1に記載の方法。
- フォトリソグラフィレチクルを処理するステップは、CHF3、CH3F、CH2F2、C2HF5、C2H4F2及びこれらの組合わせからなる群から選択される1つ以上のフッ素含有炭化水素を、約5sccm〜約100sccmの間の流速で導入するステップと、Cl2、HCl、SiCl4、BCl3及びこれらの組合わせからなる群から選択される塩素含有ガスを、約5sccm〜約100sccmの間の流速で導入するステップと、ヘリウム、アルゴン、キセノン、ネオン、クリプトン及びこれらの組合わせからなる群から選択される不活性ガスを、約0sccm〜約100sccmの間の流速で処理チャンバ内に導入するステップと、約2ミリTorr〜約25ミリTorrの間の圧力で処理チャンバを維持し、約50℃〜約150℃の間の温度で基板を維持するステップと、処理チャンバに約250ワット〜約700ワットの間でRF電力を適用してプラズマを発生させ、約10ワット〜約200ワットの間のバイアス電力を支持部材に適用するステップと、を含む、請求項1に記載の方法。
- 処理ガスは、フルオロカーボン、SF6及びこれらの組合わせからなる群から選択されるフッ素含有ガスをさらに含む、請求項1に記載の方法。
- 金属フォトマスク層の部分が露出するように金属フォトマスク層上の第2フォトレジストを堆積及びパターニングすることによって下層の減衰材料層を露出するために金属フォトマスク層をエッチングし、露出した金属フォトマスク層をエッチングするステップをさらに含む、請求項1に記載の方法。
- レチクルをエッチングする方法であり、レチクルは、光学的に透明な材料上に配置される減衰材料層、減衰材料層上に形成されパターン化された金属フォトマスク層及びパターン化された金属フォトマスク層上に堆積されパターン化されたレジスト材料を含み、前記方法は、
前記レチクルを処理チャンバ内の支持部材上に配置するステップであって、レチクルは約150℃未満の温度で維持される、ステップと、
一般式CXHYFZを有する1つ以上のフッ素を含有する炭化水素及び塩素ガスを含む処理ガスを導入するステップであって、ここでxは1〜5の整数、yは1〜8の整数、zは1〜8の整数である、ステップと、
プラズマを発生させるために電力を処理チャンバに供給するステップと、
減衰材料層の露出した部分をエッチングするステップと、
を含む方法。 - 減衰材料層は、ケイ化モリブデン(MoSi)、モリブデンオキシ窒化ケイ素(MoSiON)及びこれらの組合わせからなる群から選択される、請求項11に記載の方法。
- 一般式CXHYFZを有し1つ以上のフッ素を含有する炭化水素は、CHF3、CH3F、CH2F2、C2HF5、C2H4F2及びこれらの組合わせからなる群から選択される、請求項11に記載の方法。
- 処理チャンバに電力を供給するステップは、約200ワット以上のRF電力をコイルに適用するステップと、約200ワット以下のバイアス電力を支持部材に的湯押してプラズマを発生させるステップとを含む、請求項11に記載の方法。
- RF電力は、約200ワット〜約1000ワットの間で適用される、請求項14に記載の方法。
- バイアス電力は、約10ワット〜約200ワットの間で支持部材に適用される、請求項14に記載の方法。
- 処理ガスは、アルゴン、ヘリウム及びこれらの組合わせからなる群から選択される不活性ガスをさらに含む、請求項11に記載の方法。
- 一般式CXHYFZを有する1つ以上のフッ素を含有する炭化水素は、約5sccm〜約100sccmの間の流速で処理チャンバに導入され、塩素ガスは、約5sccm〜約100sccmの間の流速で処理チャンバに導入され、不活性ガスは、約0sccm〜約100sccmの間の流速で処理チャンバに導入され、さらに、約2ミリTorr〜約50ミリTorrの間の圧力で維持され、レチクルは約50℃〜約150℃の範囲の温度で維持される処理チャンバに、約200ワット〜1000ワットの間のRF電力を適用し、約10ワット〜約200ワットの間のバイアス電力を支持部材に適用することによって、処理チャンバ内にプラズマを発生させるステップを含む、請求項11に記載の方法。
- 処理ガスは、フルオロカーボン、SF6及びこれらの組合わせからなる群から選択されるフッ素含有ガスをさらに含む、請求項11に記載の方法。
- 金属フォトマスク層の部分が露出するように金属フォトマスク層上の第2フォトレジストを堆積及びパターニングすることによって下層の減衰材料層を露出するために金属フォトマスク層をエッチングし、露出した金属フォトマスク層をエッチングするステップをさらに含む、請求項11に記載の方法。
- フォトリソグラフィ処理のためにレチクルを製造する方法であって、
減衰材料層を露出させるために減衰材料層上に堆積された金属層をパターニングするステップと、
減衰材料層を露出させるためにパターン化された金属層の上方のレジスト層を堆積及びパターニングするステップと、
エッチング処理チャンバ内の支持部材上にフォトマスクを配置するステップと、
1つ以上のフッ素を含有する重合材料及び1つ以上の塩素を含有するガスをふくむ処理ガスを処理チャンバ内に導入するステップと、
処理チャンバ内にプラズマを発生させるためにエッチング処理チャンバに隣接して配置されるコイルにRF電力を供給するステップと、
減衰材料層の露出した部分をエッチングするステップと、
を含む方法。 - 減衰材料層は、ケイ化モリブデン(MoSi)、モリブデンオキシ窒化ケイ素(MoSiON)及びこれらの組合わせからなる群から選択される、請求項21に記載の方法。
- 1つ以上のフッ素を含有する重合材料は、一般式CXHYFZを有する炭化水素を含むフッ素含有炭化水素であり、ここで、xは1〜5の整数、yは1〜8の整数、zは1〜8の整数である、請求項21に記載の方法。
- 一般式CXHYFZを有する1つ以上のフッ素を含有する炭化水素は、CHF3、CH3F、CH2F2、C2HF5、C2H4F2及びこれらの組合わせからなる群から選択される、請求項23に記載の方法。
- 塩素を含むガスは、塩素(Cl2)、塩酸(HCl)、四塩化ケイ素(SiCl4)、三塩化ホウ素(BCl3)及びこれらの組合わせからなる群から選択される、請求項21に記載の方法。
- 処理ガスは、アルゴン、ヘリウム及びこれらの組合わせからなる群から選択される不活性ガスをさらに含む、請求項21に記載の方法。
- RF電力は、約200ワット〜約1000ワットの間にある、請求項21に記載の方法。
- 約200ワット以下のバイアス電力を支持部材に適用するステップをさらに含む、請求項27に記載の方法。
- 一般式CXHYFZを有する1つ以上のフッ素を含有する炭化水素は、約5sccm〜約100sccmの間の流速で処理チャンバに導入され、塩素ガスは、約5sccm〜約100sccmの間の流速で処理チャンバに導入され、不活性ガスは、約0sccm〜約100sccmの間の流速で処理チャンバに導入され、さらに、約2ミリTorr〜約50ミリTorrの間の圧力で維持され、レチクルは約50℃〜約150℃の範囲の温度で維持される処理チャンバに、約50ワット〜200ワットの間のRF電力を適用し、約10ワット〜約200ワットの間のバイアス電力を支持部材に適用することによって、処理チャンバ内にプラズマを発生させるステップをさらに含む、請求項21に記載の方法。
- 処理ガスは、フルオロカーボン、SF6及びこれらの組合わせからなる群から選択されるフッ素をさらに含む、請求項21に記載の方法。
- 金属フォトマスク層の部分が露出するように金属フォトマスク層上の第2フォトレジストを堆積及びパターニングすることによって下層の減衰材料層を露出するために金属フォトマスク層をエッチングし、露出した金属フォトマスク層をエッチングするステップをさらに含む、請求項21に記載の方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US38049302P | 2002-05-14 | 2002-05-14 |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2004038154A true JP2004038154A (ja) | 2004-02-05 |
Family
ID=32069602
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003136222A Pending JP2004038154A (ja) | 2002-05-14 | 2003-05-14 | フォトリソグラフィレチクルをエッチングする方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20040072081A1 (ja) |
JP (1) | JP2004038154A (ja) |
KR (1) | KR20040012451A (ja) |
TW (1) | TWI302716B (ja) |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006078953A (ja) * | 2004-09-13 | 2006-03-23 | Ulvac Seimaku Kk | ハーフトーン型位相シフトマスク及びその製造法 |
JP2006209126A (ja) * | 2005-01-27 | 2006-08-10 | Applied Materials Inc | フォトマスク製作に適したモリブデン層をエッチングするための方法 |
JP2007241060A (ja) * | 2006-03-10 | 2007-09-20 | Shin Etsu Chem Co Ltd | フォトマスクブランク及びフォトマスクの製造方法 |
JP2008116949A (ja) * | 2006-10-30 | 2008-05-22 | Applied Materials Inc | マスクエッチングプロセス |
JP2010237692A (ja) * | 2010-05-28 | 2010-10-21 | Shin-Etsu Chemical Co Ltd | フォトマスクブランク及びフォトマスクの製造方法 |
US7829471B2 (en) | 2005-07-29 | 2010-11-09 | Applied Materials, Inc. | Cluster tool and method for process integration in manufacturing of a photomask |
JP2012003287A (ja) * | 2011-09-21 | 2012-01-05 | Shin Etsu Chem Co Ltd | フォトマスクの製造方法及びフォトマスク |
JP2012032823A (ja) * | 2011-09-21 | 2012-02-16 | Shin Etsu Chem Co Ltd | フォトマスクブランク及びバイナリーマスクの製造方法 |
Families Citing this family (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7521000B2 (en) * | 2003-08-28 | 2009-04-21 | Applied Materials, Inc. | Process for etching photomasks |
US7064078B2 (en) * | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
KR100634387B1 (ko) * | 2004-07-22 | 2006-10-16 | 삼성전자주식회사 | 위상 쉬프트 마스크의 수리 방법 |
US7879510B2 (en) * | 2005-01-08 | 2011-02-01 | Applied Materials, Inc. | Method for quartz photomask plasma etching |
US7829243B2 (en) * | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
JP4509050B2 (ja) * | 2006-03-10 | 2010-07-21 | 信越化学工業株式会社 | フォトマスクブランク及びフォトマスク |
JP4737426B2 (ja) | 2006-04-21 | 2011-08-03 | 信越化学工業株式会社 | フォトマスクブランク |
US7517804B2 (en) * | 2006-08-31 | 2009-04-14 | Micron Technologies, Inc. | Selective etch chemistries for forming high aspect ratio features and associated structures |
US7767365B2 (en) * | 2006-08-31 | 2010-08-03 | Micron Technology, Inc. | Methods for forming and cleaning photolithography reticles |
US7771895B2 (en) * | 2006-09-15 | 2010-08-10 | Applied Materials, Inc. | Method of etching extreme ultraviolet light (EUV) photomasks |
US7871742B2 (en) * | 2007-04-05 | 2011-01-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for controlling phase angle of a mask by post-treatment |
US7985513B2 (en) * | 2008-03-18 | 2011-07-26 | Advanced Micro Devices, Inc. | Fluorine-passivated reticles for use in lithography and methods for fabricating the same |
US8241479B2 (en) | 2008-07-10 | 2012-08-14 | Illinois Tool Works Inc. | Imaging of deep structures of reliefs for shallow relief embossing |
US8791001B2 (en) * | 2008-09-08 | 2014-07-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | N2 based plasma treatment and ash for HK metal gate protection |
KR101040622B1 (ko) * | 2008-12-01 | 2011-06-10 | 한국타이어 주식회사 | 회전 저항을 감소시킨 자동차용 타이어 |
BRPI0922226A2 (pt) | 2008-12-08 | 2015-12-29 | Gilead Connecticut Inc | inibidores de syk imidazopirazina. |
NZ593459A (en) | 2008-12-08 | 2013-09-27 | Gilead Connecticut Inc | Imidazopyrazine syk inhibitors |
US8778574B2 (en) * | 2012-11-30 | 2014-07-15 | Applied Materials, Inc. | Method for etching EUV material layers utilized to form a photomask |
DE102013203995B4 (de) * | 2013-03-08 | 2020-03-12 | Carl Zeiss Smt Gmbh | Verfahren zum Schützen eines Substrats während einer Bearbeitung mit einem Teilchenstrahl |
TWI662037B (zh) | 2013-12-23 | 2019-06-11 | 美商基利科學股份有限公司 | 脾酪胺酸激酶抑制劑 |
CA3073871A1 (en) | 2017-08-25 | 2019-02-28 | Gilead Sciences, Inc. | Polymorphs of syk inhibitors |
AU2020225455A1 (en) | 2019-02-22 | 2021-09-09 | Kronos Bio, Inc. | Solid forms of condensed pyrazines as Syk inhibitors |
Family Cites Families (53)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US87531A (en) * | 1869-03-02 | Improvement in wrench for bit-braces | ||
US177321A (en) * | 1876-05-16 | Improvement in wind-wheels | ||
US177322A (en) * | 1876-05-16 | Improvements gas-burners | ||
US4476678A (en) * | 1980-01-31 | 1984-10-16 | Plc Peters Limited | Control mechanism for pneumatic apparatus |
US4310380A (en) * | 1980-04-07 | 1982-01-12 | Bell Telephone Laboratories, Incorporated | Plasma etching of silicon |
US4484978A (en) * | 1983-09-23 | 1984-11-27 | Fairchild Camera & Instrument Corp. | Etching method |
JPS6077429A (ja) * | 1983-10-04 | 1985-05-02 | Asahi Glass Co Ltd | ドライエツチング方法 |
US4741799A (en) * | 1985-05-06 | 1988-05-03 | International Business Machines Corporation | Anisotropic silicon etching in fluorinated plasma |
US4666555A (en) * | 1985-08-23 | 1987-05-19 | Intel Corporation | Plasma etching of silicon using fluorinated gas mixtures |
US4726879A (en) * | 1986-09-08 | 1988-02-23 | International Business Machines Corporation | RIE process for etching silicon isolation trenches and polycides with vertical surfaces |
US4713141A (en) * | 1986-09-22 | 1987-12-15 | Intel Corporation | Anisotropic plasma etching of tungsten |
JPS6432627A (en) * | 1987-07-29 | 1989-02-02 | Hitachi Ltd | Low-temperature dry etching method |
US5643473A (en) * | 1987-07-31 | 1997-07-01 | Hitachi, Ltd. | Dry etching method |
US5316616A (en) * | 1988-02-09 | 1994-05-31 | Fujitsu Limited | Dry etching with hydrogen bromide or bromine |
US5429070A (en) * | 1989-06-13 | 1995-07-04 | Plasma & Materials Technologies, Inc. | High density plasma deposition and etching apparatus |
JPH0383335A (ja) * | 1989-08-28 | 1991-04-09 | Hitachi Ltd | エッチング方法 |
US5164330A (en) * | 1991-04-17 | 1992-11-17 | Intel Corporation | Etchback process for tungsten utilizing a NF3/AR chemistry |
US5358601A (en) * | 1991-09-24 | 1994-10-25 | Micron Technology, Inc. | Process for isotropically etching semiconductor devices |
JP3024317B2 (ja) * | 1991-10-25 | 2000-03-21 | 日本電気株式会社 | 半導体装置の製造方法 |
US5242538A (en) * | 1992-01-29 | 1993-09-07 | Applied Materials, Inc. | Reactive ion etch process including hydrogen radicals |
US5716494A (en) * | 1992-06-22 | 1998-02-10 | Matsushita Electric Industrial Co., Ltd. | Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate |
US5486706A (en) * | 1993-05-26 | 1996-01-23 | Matsushita Electric Industrial Co., Ltd. | Quantization functional device utilizing a resonance tunneling effect and method for producing the same |
US5691246A (en) * | 1993-05-13 | 1997-11-25 | Micron Technology, Inc. | In situ etch process for insulating and conductive materials |
US5433823A (en) * | 1993-09-30 | 1995-07-18 | Cain; John L. | Selective dry-etching of bi-layer passivation films |
US5571366A (en) * | 1993-10-20 | 1996-11-05 | Tokyo Electron Limited | Plasma processing apparatus |
EP0706070A3 (de) * | 1994-10-04 | 1997-04-02 | Siemens Ag | Verfahren zum Trockenätzen eines Halbleitersubstrats |
EP0729175A1 (en) * | 1995-02-24 | 1996-08-28 | International Business Machines Corporation | Method for producing deep vertical structures in silicon substrates |
US5759921A (en) * | 1995-09-21 | 1998-06-02 | Lsi Logic Corporation | Integrated circuit device fabrication by plasma etching |
FR2739494B1 (fr) * | 1995-09-29 | 1997-11-14 | Suisse Electronique Microtech | Procede de fabrication de pieces de micromecanique ayant une partie en diamant constituee au moins d'une pointe, et pieces de micromecanique comportant au moins une pointe en diamant |
US5854136A (en) * | 1996-03-25 | 1998-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-step nitride etching process for better critical dimension and better vertical sidewall profile |
US5843847A (en) * | 1996-04-29 | 1998-12-01 | Applied Materials, Inc. | Method for etching dielectric layers with high selectivity and low microloading |
KR100230981B1 (ko) * | 1996-05-08 | 1999-11-15 | 김광호 | 반도체장치 제조공정의 플라즈마 식각 방법 |
US5880033A (en) * | 1996-06-17 | 1999-03-09 | Applied Materials, Inc. | Method for etching metal silicide with high selectivity to polysilicon |
US5866483A (en) * | 1997-04-04 | 1999-02-02 | Applied Materials, Inc. | Method for anisotropically etching tungsten using SF6, CHF3, and N2 |
US5965463A (en) * | 1997-07-03 | 1999-10-12 | Applied Materials, Inc. | Silane etching process |
US6872322B1 (en) * | 1997-11-12 | 2005-03-29 | Applied Materials, Inc. | Multiple stage process for cleaning process chambers |
US5933729A (en) * | 1997-12-08 | 1999-08-03 | Advanced Micro Devices, Inc. | Reduction of ONO fence during self-aligned etch to eliminate poly stringers |
US6025271A (en) * | 1997-12-08 | 2000-02-15 | Micron Technology, Inc. | Method of removing surface defects or other recesses during the formation of a semiconductor device |
US6143476A (en) * | 1997-12-12 | 2000-11-07 | Applied Materials Inc | Method for high temperature etching of patterned layers using an organic mask stack |
JP3262529B2 (ja) * | 1997-12-19 | 2002-03-04 | ホーヤ株式会社 | 位相シフトマスク及び位相シフトマスクブランク |
US6037265A (en) * | 1998-02-12 | 2000-03-14 | Applied Materials, Inc. | Etchant gas and a method for etching transistor gates |
US5994235A (en) * | 1998-06-24 | 1999-11-30 | Lam Research Corporation | Methods for etching an aluminum-containing layer |
US6312616B1 (en) * | 1998-12-03 | 2001-11-06 | Applied Materials, Inc. | Plasma etching of polysilicon using fluorinated gas mixtures |
KR100322537B1 (ko) * | 1999-07-02 | 2002-03-25 | 윤종용 | 블랭크 마스크 및 이를 이용한 위상 반전 마스크 제조방법 |
US6583065B1 (en) * | 1999-08-03 | 2003-06-24 | Applied Materials Inc. | Sidewall polymer forming gas additives for etching processes |
US6472107B1 (en) * | 1999-09-30 | 2002-10-29 | Photronics, Inc. | Disposable hard mask for photomask plasma etching |
US6682861B2 (en) * | 1999-09-30 | 2004-01-27 | Photronics, Inc. | Disposable hard mask for phase shift photomask plasma etching |
US6221784B1 (en) * | 1999-11-29 | 2001-04-24 | Applied Materials Inc. | Method and apparatus for sequentially etching a wafer using anisotropic and isotropic etching |
US6277763B1 (en) * | 1999-12-16 | 2001-08-21 | Applied Materials, Inc. | Plasma processing of tungsten using a gas mixture comprising a fluorinated gas and oxygen |
US6403267B1 (en) * | 2000-01-21 | 2002-06-11 | Taiwan Semiconductor Manufacturing Company | Method for high transmittance attenuated phase-shifting mask fabrication |
JP4686006B2 (ja) * | 2000-04-27 | 2011-05-18 | 大日本印刷株式会社 | ハーフトーン位相シフトフォトマスクとハーフトーン位相シフトフォトマスク用ブランクス、及びハーフトーン位相シフトフォトマスクの製造方法 |
US6391790B1 (en) * | 2000-05-22 | 2002-05-21 | Applied Materials, Inc. | Method and apparatus for etching photomasks |
TW567394B (en) * | 2001-10-22 | 2003-12-21 | Unaxis Usa Inc | Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate |
-
2003
- 2003-05-13 US US10/437,729 patent/US20040072081A1/en not_active Abandoned
- 2003-05-13 KR KR1020030030134A patent/KR20040012451A/ko not_active Ceased
- 2003-05-14 JP JP2003136222A patent/JP2004038154A/ja active Pending
- 2003-05-14 TW TW092113132A patent/TWI302716B/zh not_active IP Right Cessation
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2006078953A (ja) * | 2004-09-13 | 2006-03-23 | Ulvac Seimaku Kk | ハーフトーン型位相シフトマスク及びその製造法 |
JP2006209126A (ja) * | 2005-01-27 | 2006-08-10 | Applied Materials Inc | フォトマスク製作に適したモリブデン層をエッチングするための方法 |
US8293430B2 (en) | 2005-01-27 | 2012-10-23 | Applied Materials, Inc. | Method for etching a molybdenum layer suitable for photomask fabrication |
US7829471B2 (en) | 2005-07-29 | 2010-11-09 | Applied Materials, Inc. | Cluster tool and method for process integration in manufacturing of a photomask |
US7838433B2 (en) | 2005-07-29 | 2010-11-23 | Applied Materials, Inc. | Cluster tool and method for process integration in manufacturing of a photomask |
JP2007241060A (ja) * | 2006-03-10 | 2007-09-20 | Shin Etsu Chem Co Ltd | フォトマスクブランク及びフォトマスクの製造方法 |
JP2008116949A (ja) * | 2006-10-30 | 2008-05-22 | Applied Materials Inc | マスクエッチングプロセス |
JP2010237692A (ja) * | 2010-05-28 | 2010-10-21 | Shin-Etsu Chemical Co Ltd | フォトマスクブランク及びフォトマスクの製造方法 |
JP2012003287A (ja) * | 2011-09-21 | 2012-01-05 | Shin Etsu Chem Co Ltd | フォトマスクの製造方法及びフォトマスク |
JP2012032823A (ja) * | 2011-09-21 | 2012-02-16 | Shin Etsu Chem Co Ltd | フォトマスクブランク及びバイナリーマスクの製造方法 |
Also Published As
Publication number | Publication date |
---|---|
TWI302716B (en) | 2008-11-01 |
KR20040012451A (ko) | 2004-02-11 |
US20040072081A1 (en) | 2004-04-15 |
TW200405422A (en) | 2004-04-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2004038154A (ja) | フォトリソグラフィレチクルをエッチングする方法 | |
US8202441B2 (en) | Process for etching a metal layer suitable for use in photomask fabrication | |
EP1686421B1 (en) | Method for plasma etching a chromium layer suitable for photomask fabrication | |
JP5265174B2 (ja) | エッチングリアクタを用いたナノ−インプリントテンプレートのエッチング | |
EP1686420A2 (en) | Method for etching a molybdenum layer suitable for photomask fabrication | |
EP1686422B1 (en) | Method for photomask plasma etching using a protected mask | |
JP2006215552A5 (ja) | ||
JP5484666B2 (ja) | マスクエッチングプロセス | |
US6960413B2 (en) | Multi-step process for etching photomasks | |
US6391790B1 (en) | Method and apparatus for etching photomasks | |
JP2004503829A (ja) | 基板上のメタル層をエッチングする方法および装置 | |
US20030003374A1 (en) | Etch process for photolithographic reticle manufacturing with improved etch bias | |
US20040000535A1 (en) | Process for etching photomasks | |
US7115523B2 (en) | Method and apparatus for etching photomasks | |
CN101054673B (zh) | 利用保护性罩幕的光罩等离子体蚀刻法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20060510 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20090225 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090303 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090804 |