CN221008951U - integrated circuit - Google Patents
integrated circuit Download PDFInfo
- Publication number
- CN221008951U CN221008951U CN202321890164.7U CN202321890164U CN221008951U CN 221008951 U CN221008951 U CN 221008951U CN 202321890164 U CN202321890164 U CN 202321890164U CN 221008951 U CN221008951 U CN 221008951U
- Authority
- CN
- China
- Prior art keywords
- gate
- dimension
- size
- contact
- standard cell
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Landscapes
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
Abstract
一种集成电路,包括:第一标准单元,整合有第一pFET以及第一nFET;第一栅极、第二栅极,以及第三栅极,沿着第一方向纵向地定向,并且配置于第一标准单元中;位在第一栅极上的第一栅极接点,在第一栅极两个相对的边缘上与两个S/D接点相邻;位在第二栅极的第二栅极接点,在第二栅极的一个边缘上与一个S/D接点相邻;以及位在第三栅极上的第三栅极接点,其周围没有任何S/D接点。第一、第二,以及第三栅极接点分别沿着与第一方向正交的第二方向延伸第一尺寸、第二尺寸,以及第三尺寸;第一尺寸小于第二尺寸,第二尺寸小于第三尺寸。
An integrated circuit includes: a first standard cell integrating a first pFET and a first nFET; a first gate, a second gate, and a third gate oriented longitudinally along a first direction and arranged in the first standard cell; a first gate contact located on the first gate adjacent to two S/D contacts on two opposite edges of the first gate; a second gate contact located on the second gate adjacent to an S/D contact on one edge of the second gate; and a third gate contact located on the third gate without any S/D contacts around it. The first, second, and third gate contacts extend along a second direction orthogonal to the first direction by a first dimension, a second dimension, and a third dimension, respectively; the first dimension is smaller than the second dimension, and the second dimension is smaller than the third dimension.
Description
技术领域Technical Field
本新型实施例是关于集成电路,特别是关于具有整合多种标准单元的布局的集成电路。The present invention relates to an integrated circuit, and more particularly to an integrated circuit having a layout integrating multiple standard cells.
背景技术Background technique
在集成电路(integrated circuit(IC))的设计中,具有特定功能的标准单元(standard cell)被高频率的重复使用。因此,这些标准单元被预先设计并封装(pack)在单元库(cell library)中。单元库被提供给IC设计者以进行特定的设计。在集成电路设计期间,标准单元从单元库中取得并被放置到所需的位置,因此减少设计的工作量。接着执行布线(routing)以连接标准单元及其他电路方块,以形成所需的集成电路。在制作标准单元以及将标准单元放置到所需的位置时会遵守预先定义的设计规则。举例而言,标准单元被放置于另一个标准单元附近,这两个标准单元之间的间隔根据预先定义的规则决定。标准单元及单元边界(boundary)之间保留的间隔造成标准单元的区域显著地增加。此外,因为主动区(active region)与单元边界分开,当标准单元被放置为与彼此邻接(abut)时,即使在附近单元中的一些主动区需要电性耦接,主动区也将不会被连接(join)。分开的主动区需要使用金属线电性连接。产生的装置的效能会下降。布局(layout)图案及配置会影响标准单元的产量(yield)及设计效能。在另一个范例中,互连结构(interconnect structure)包括形成在栅极电极及主动区上的多个接点(contact)及通孔(vias)。然而,如果这些导电部件(feature)被设计成较大的尺寸,因为错位(misalignment)及制程窗口(processingwindow)会导致短路(short)问题发生。如果这些导电部件被设计成较小的尺寸,接点电阻会增加并且错位会导致开路(open)问题。因此需要一种集成电路布局结构以及该集成电路布局结构的制造方法以解决上述问题。In the design of integrated circuits (ICs), standard cells with specific functions are reused at a high frequency. Therefore, these standard cells are pre-designed and packaged in a cell library. The cell library is provided to IC designers for specific designs. During the design of the integrated circuit, the standard cells are taken from the cell library and placed at the required location, thereby reducing the design workload. Then, routing is performed to connect the standard cells and other circuit blocks to form the required integrated circuit. Predefined design rules are followed when making standard cells and placing the standard cells at the required locations. For example, a standard cell is placed near another standard cell, and the spacing between the two standard cells is determined according to predefined rules. The spacing reserved between the standard cell and the cell boundary causes the area of the standard cell to increase significantly. In addition, because the active region is separated from the cell boundary, when the standard cells are placed adjacent to each other, even if some active regions in nearby cells need to be electrically coupled, the active regions will not be connected. The separated active regions need to be electrically connected using metal wires. The performance of the resulting device will decrease. The layout pattern and configuration will affect the yield and design performance of the standard cell. In another example, the interconnect structure includes multiple contacts and vias formed on the gate electrode and the active area. However, if these conductive features are designed to be larger in size, short circuit problems will occur due to misalignment and process window. If these conductive features are designed to be smaller in size, the contact resistance will increase and misalignment will cause open circuit problems. Therefore, an integrated circuit layout structure and a method for manufacturing the integrated circuit layout structure are needed to solve the above problems.
实用新型内容Utility Model Content
在一个较广泛的实施例中,本公开有关于一种集成电路(IC)。上述集成电路包括:第一标准单元,上述第一标准单元整合有第一p型场效晶体管(pFET)以及第一n型场效晶体管(nFET);第一栅极、第二栅极,以及第三栅极,上述第一栅极、上述第二栅极,以及上述第三栅极沿着第一方向纵向地定向,并且配置于第一标准单元中;位在第一栅极上的第一栅极接点,上述第一栅极接点在上述第一栅极两个相对的边缘上与两个源极/漏极(S/D)接点相邻;位在第二栅极的第二栅极接点,上述第二栅极接点在上述第二栅极的一个边缘上与单一个源极/漏极接点相邻;以及位在第三栅极上的第三栅极接点,上述第三栅极接点的周围没有任何源极/漏极接点。第一栅极接点沿着与第一方向正交的第二方向延伸第一尺寸;第二栅极接点沿着第二方向延伸第二尺寸;第三栅极接点沿着第二方向延伸第三尺寸;第一尺寸小于第二尺寸,并且第二尺寸小于第三尺寸。In a broader embodiment, the present disclosure relates to an integrated circuit (IC). The integrated circuit includes: a first standard cell, the first standard cell integrating a first p-type field effect transistor (pFET) and a first n-type field effect transistor (nFET); a first gate, a second gate, and a third gate, the first gate, the second gate, and the third gate are longitudinally oriented along a first direction and arranged in the first standard cell; a first gate contact on the first gate, the first gate contact is adjacent to two source/drain (S/D) contacts on two opposite edges of the first gate; a second gate contact on the second gate, the second gate contact is adjacent to a single source/drain contact on one edge of the second gate; and a third gate contact on the third gate, the third gate contact is not surrounded by any source/drain contacts. The first gate contact extends a first dimension along a second direction orthogonal to the first direction; the second gate contact extends a second dimension along the second direction; the third gate contact extends a third dimension along the second direction; the first dimension is smaller than the second dimension, and the second dimension is smaller than the third dimension.
优选地,上述第二尺寸与上述第一尺寸的一第一比例等于上述第三尺寸与上述第二尺寸的一第二比例,上述第一比例与上述第二比例都在1.2到1.5之间。Preferably, a first ratio of the second size to the first size is equal to a second ratio of the third size to the second size, and both the first ratio and the second ratio are between 1.2 and 1.5.
优选地,所述集成电路更包括:一第二标准单元,与上述第一标准单元相邻,上述第二标准单元整合有一第二p型场效晶体管以及一第二n型场效晶体管;一第一介电栅极,位于上述第一标准单元及上述第二标准单元之间;一第二介电栅极,位于上述第一标准单元及上述第二标准单元之间;以及一第一填充单元,被配置于上述第一标准单元及上述第二标准单元之间,并且在上述第一介电栅极与上述第二介电栅极之间延伸;其中上述第一介电栅极位在上述第一标准单元的边界上,上述第二介电栅极位在上述第二标准单元的边界上。Preferably, the integrated circuit further comprises: a second standard cell adjacent to the first standard cell, the second standard cell integrating a second p-type field effect transistor and a second n-type field effect transistor; a first dielectric gate located between the first standard cell and the second standard cell; a second dielectric gate located between the first standard cell and the second standard cell; and a first filling cell disposed between the first standard cell and the second standard cell and extending between the first dielectric gate and the second dielectric gate; wherein the first dielectric gate is located on a boundary of the first standard cell, and the second dielectric gate is located on a boundary of the second standard cell.
优选地,上述第一填充单元更包括一第三介电栅极,上述第三介电栅极夹设于上述第一介电栅极与上述第二介电栅极之间。Preferably, the first filling unit further includes a third dielectric gate, and the third dielectric gate is sandwiched between the first dielectric gate and the second dielectric gate.
优选地,上述第一p型场效晶体管及上述第二p型场效晶体管形成在一第一连续主动区上;上述第一n型场效晶体管及上述第二n型场效晶体管形成在一第二连续主动区上;上述第一连续主动区及上述第二连续主动区沿着上述第二方向纵向地定向;以及上述第一介电栅极及上述第二介电栅极沿着上述第一方向纵向地定向,并且从上述第一连续主动区延伸至上述第二连续主动区;其中,上述第一栅极沿着上述第二方向延伸一第四尺寸;上述第二栅极包括与上述第二栅极接点重叠的一第一分段,上述第一分段沿着上述第二方向延伸一第一扩增尺寸;上述第一扩增尺寸大于上述第四尺寸;上述第三栅极包括与上述第三栅极接点重叠的一第二分段,上述第二分段沿着上述第二方向延伸一第二扩增尺寸;上述第二扩增尺寸大于上述第一扩增尺寸;Preferably, the first p-type field effect transistor and the second p-type field effect transistor are formed on a first continuous active region; the first n-type field effect transistor and the second n-type field effect transistor are formed on a second continuous active region; the first continuous active region and the second continuous active region are longitudinally oriented along the second direction; and the first dielectric gate and the second dielectric gate are longitudinally oriented along the first direction and extend from the first continuous active region to the second continuous active region; wherein the first gate extends along the second direction by a fourth dimension; the second gate includes a first segment overlapping with the second gate contact, the first segment extends along the second direction by a first enlarged dimension; the first enlarged dimension is larger than the fourth dimension; the third gate includes a second segment overlapping with the third gate contact, the second segment extends along the second direction by a second enlarged dimension; the second enlarged dimension is larger than the first enlarged dimension;
上述第一扩增尺寸与上述第四尺寸的比例在1.5到2之间;以及上述第二扩增尺寸与上述第四尺寸的比例在2到3之间。The ratio of the first enlarged size to the fourth size is between 1.5 and 2; and the ratio of the second enlarged size to the fourth size is between 2 and 3.
在另一个较广泛的实施例中,本公开有关于一种集成电路。上述集成电路包括:第一标准单元,上述第一标准单元整合第一p型场效晶体管(pFET)以及第一n型场效晶体管(nFET),并且在第一标准单元边界上具有第一介电栅极;与第一标准单元相邻的第二标准单元,上述第二标准单元整合有第二p型场效晶体管以及第二n型场效晶体管,并且在第二标准单元边界上具有第二介电栅极;以及被配置于第一标准单元及第二标准单元之间的第一填充单元,上述第一填充单元在第一介电栅极与第二介电栅极之间延伸。第一标准单元更包括:沿着第一方向纵向地定向并且被配置于第一标准单元中的第一栅极及第二栅极;位在第一栅极上的第一栅极接点,上述第一栅极接点在上述第一栅极两个相对的边缘上与两个源极/漏极(S/D)接点相邻;以及位在第二栅极上的第二栅极接点,上述第二栅极接点在上述第二栅极的一个边缘上与一个源极/漏极接点相邻。第一栅极接点沿着与第一方向正交的第二方向延伸第一尺寸;第二栅极接点沿着第二方向延伸第二尺寸;第一尺寸小于第二尺寸。In another broader embodiment, the present disclosure relates to an integrated circuit. The integrated circuit includes: a first standard cell, the first standard cell integrating a first p-type field effect transistor (pFET) and a first n-type field effect transistor (nFET), and having a first dielectric gate on the first standard cell boundary; a second standard cell adjacent to the first standard cell, the second standard cell integrating a second p-type field effect transistor and a second n-type field effect transistor, and having a second dielectric gate on the second standard cell boundary; and a first filling cell disposed between the first standard cell and the second standard cell, the first filling cell extending between the first dielectric gate and the second dielectric gate. The first standard cell further includes: a first gate and a second gate oriented longitudinally along a first direction and disposed in the first standard cell; a first gate contact on the first gate, the first gate contact being adjacent to two source/drain (S/D) contacts on two opposite edges of the first gate; and a second gate contact on the second gate, the second gate contact being adjacent to a source/drain contact on one edge of the second gate. The first gate contact extends a first dimension along a second direction orthogonal to the first direction; the second gate contact extends a second dimension along the second direction; and the first dimension is smaller than the second dimension.
优选地,上述第一p型场效晶体管及上述第二p型场效晶体管形成在一第一连续主动区上;以及上述第一n型场效晶体管及上述第二n型场效晶体管形成在一第二连续主动区上。Preferably, the first p-type field effect transistor and the second p-type field effect transistor are formed on a first continuous active region; and the first n-type field effect transistor and the second n-type field effect transistor are formed on a second continuous active region.
优选地,上述第一连续主动区及上述第二连续主动区皆包括垂直地堆叠的多个通道;以及上述第一栅极及上述第二栅极皆环绕上述多个通道。Preferably, the first continuous active region and the second continuous active region both include a plurality of vertically stacked channels; and the first gate and the second gate both surround the plurality of channels.
优选地,所述集成电路更包括:一第三栅极,沿着上述第一方向纵向地定向并且被配置于上述第一标准单元中;以及一第三栅极接点,位在上述第三栅极上,并且周围没有任何源极/漏极接点,其中,上述第三栅极接点沿着上述第二方向延伸一第三尺寸,并且上述第三尺寸大于上述第二尺寸;以及上述第二尺寸与上述第一尺寸的一第一比例等于上述第三尺寸与上述第二尺寸的一第二比例,上述第一比例与上述第二比例都在1.2到1.5之间。Preferably, the integrated circuit further includes: a third gate, oriented longitudinally along the first direction and configured in the first standard unit; and a third gate contact, located on the third gate and without any source/drain contacts around it, wherein the third gate contact extends a third dimension along the second direction, and the third dimension is greater than the second dimension; and a first ratio of the second dimension to the first dimension is equal to a second ratio of the third dimension to the second dimension, and the first ratio and the second ratio are both between 1.2 and 1.5.
优选地,上述第一栅极沿着上述第二方向延伸一第四尺寸;上述第二栅极包括与上述第二栅极接点重叠的一第一分段,上述第一分段沿着上述第二方向延伸一第一扩增尺寸;上述第三栅极包括与上述第三栅极接点重叠的一第二分段,上述第二分段沿着上述第二方向延伸一第二扩增尺寸;以及上述第一扩增尺寸大于上述第四尺寸,上述第二扩增尺寸大于上述第一扩增尺寸;Preferably, the first grid extends along the second direction by a fourth dimension; the second grid includes a first segment overlapping with the second grid contact, and the first segment extends along the second direction by a first enlarged dimension; the third grid includes a second segment overlapping with the third grid contact, and the second segment extends along the second direction by a second enlarged dimension; and the first enlarged dimension is greater than the fourth dimension, and the second enlarged dimension is greater than the first enlarged dimension;
上述第一扩增尺寸与上述第四尺寸的比例在1.5到2之间;以及上述第二扩增尺寸与上述第四尺寸的比例在2到3之间。The ratio of the first enlarged size to the fourth size is between 1.5 and 2; and the ratio of the second enlarged size to the fourth size is between 2 and 3.
附图说明BRIEF DESCRIPTION OF THE DRAWINGS
本新型实施例阅读以下实施方式配合附带的图式能够最好的理解。应该注意的是,根据业界的标准做法,多个特征并未依照比例绘制。事实上,为了清楚的讨论,多个特征的尺寸(dimension)可以随意地增加或减少。The novel embodiments are best understood by reading the following embodiments in conjunction with the accompanying drawings. It should be noted that, in accordance with standard industry practice, multiple features are not drawn to scale. In fact, for the sake of clarity of discussion, the dimensions of multiple features may be increased or decreased at will.
图1为根据本新型实施例制造的集成电路(IC)结构的俯视图(top view)。FIG. 1 is a top view of an integrated circuit (IC) structure manufactured according to an embodiment of the present invention.
图2A为根据本新型实施例制造的集成电路结构的俯视图。FIG. 2A is a top view of an integrated circuit structure manufactured according to an embodiment of the present invention.
图2B、图2C、图2D为根据本新型实施例制造的图2A中的集成电路结构的截面图。2B, 2C, and 2D are cross-sectional views of the integrated circuit structure in FIG. 2A manufactured according to an embodiment of the present invention.
图2E为根据本新型实施例制造的图2A中的集成电路结构的俯视图。FIG. 2E is a top view of the integrated circuit structure in FIG. 2A manufactured according to an embodiment of the present invention.
图3A、图3B、图3C为本新型实施例的集成电路结构中的栅极的截面图(sectionalview)。3A, 3B and 3C are cross-sectional views of a gate in an integrated circuit structure according to an embodiment of the present invention.
图4A为根据本新型实施例制造的集成电路结构的俯视图。FIG. 4A is a top view of an integrated circuit structure manufactured according to an embodiment of the present invention.
图4B为根据本新型实施例制造的图4A中的集成电路结构的俯视图。FIG. 4B is a top view of the integrated circuit structure in FIG. 4A manufactured according to an embodiment of the present invention.
图4C为根据本新型实施例制造的集成电路结构的俯视图。FIG. 4C is a top view of an integrated circuit structure manufactured according to an embodiment of the present invention.
图4D为根据本新型实施例制造的图4C中的集成电路结构的俯视图。FIG. 4D is a top view of the integrated circuit structure in FIG. 4C manufactured according to an embodiment of the present invention.
图5A、图5B为根据本新型实施例制造的集成电路结构的俯视图。5A and 5B are top views of an integrated circuit structure manufactured according to an embodiment of the present invention.
图6A、图6B、图6C为根据本新型实施例制造的图4A中的集成电路结构的截面图。6A, 6B, and 6C are cross-sectional views of the integrated circuit structure in FIG. 4A manufactured according to an embodiment of the present invention.
图7为根据本新型实施例制造的图4A中的集成电路结构的截面图。FIG. 7 is a cross-sectional view of the integrated circuit structure in FIG. 4A manufactured according to an embodiment of the present invention.
图8A、图8B为根据本新型实施例制造的集成电路结构的俯视图。8A and 8B are top views of an integrated circuit structure manufactured according to an embodiment of the present invention.
其中,附图标记说明如下:The reference numerals are described as follows:
10,20:集成电路结构(IC结构)10, 20: Integrated circuit structure (IC structure)
12:半导体基板(基板)12: Semiconductor substrate (substrate)
12A,22A:上表面12A, 22A: Upper surface
14:标准单元/第一标准单元14: Standard cell/first standard cell
16:标准单元/第二标准单元16: Standard cell/second standard cell
18:填充单元18: Filling unit
21:标准单元/标准IC单元21: Standard cell/standard IC cell
22:主动区/鳍式主动区/第一主动区/第二主动区22: Active area/Fin-type active area/First active area/Second active area
24:隔离部件24: Isolation components
26:负掺杂井(n井)26: Negatively doped well (n well)
28:正掺杂井(p井)28: Positive doping well (p well)
30:栅极30: Gate
30A,30B:分段30A, 30B: Segment
32:栅极堆叠32: Gate stack
34:栅极间隔物34: Gate spacer
36:源极/漏极部件(S/D部件)36: Source/drain component (S/D component)
38:通道38: Channel
40:介电栅极40: Dielectric Gate
42:栅极介电层42: Gate dielectric layer
42A:界面层42A: Interface layer
42B:高介电常数介电材料层42B: High dielectric constant dielectric material layer
44:栅极电极44: Gate electrode
44A:功函数金属层44A: Work function metal layer
44B:填充金属44B: Filler Metal
46:互连结构46: Interconnection structure
48:层间介电层(ILD层)48: Interlayer dielectric layer (ILD layer)
48A:第一层间介电层(第一ILD层)48A: first interlayer dielectric layer (first ILD layer)
48B:第二层间介电层(第二ILD层)48B: second interlayer dielectric layer (second ILD layer)
50:栅极接点50: Gate contact
50A:栅极接点/第一类型栅极接点50A: Gate contact/first type gate contact
50B:栅极接点/第二类型栅极接点50B: Gate contact/second type gate contact
50C:栅极接点/第三类型栅极接点50C: Gate contact/third type gate contact
52:第一源极/漏极接点(第一S/D接点)52: First source/drain contact (first S/D contact)
54:第二源极/漏极接点(第二S/D接点)54: Second source/drain contact (second S/D contact)
56:第一金属线56: First Metal Wire
58:共同边缘58: Common Edge
60A:第一蚀刻停止层60A: First etch stop layer
60B:第二蚀刻停止层60B: Second etch stop layer
62,64:p型FET(pFET)62, 64: p-type FET (pFET)
66,68:n型FET(nFET)66, 68: n-type FET (nFET)
D1:尺寸/第一尺寸D1: Size/First Size
D2:尺寸/第二尺寸D2: Dimension/Second Dimension
D3:尺寸/第三尺寸D3: Dimension/Third Dimension
D4,D5,Df,H:尺寸D4, D5, Df, H: Dimensions
G1:尺寸/第四尺寸G1: Dimension/Fourth Dimension
G2:尺寸/第一扩增尺寸G2: Size/first amplification size
G3:尺寸/第二扩增尺寸G3: Size/Second Amplification Size
P:栅极节距/节距尺寸P: Gate pitch/pitch size
S1,S2:间隔S1, S2: Interval
具体实施方式Detailed ways
以下提供多个不同的实施例或范例,以实现所提供的标的的不同特征。在此会在多个范例中重复参考编号及/或字母。这样的重复是为了简洁及清楚,本身并不用以决定多个实施例及/或配置之间的关系。此外,以下描述元件及排列(arrangement)的特定范例以简化本新型的实施例。这些范例当然仅是范例而不应该是限制。举例来说,在以下实施方式中的一第一特征在一第二特征之上的构成(formation),可以包括上述第一特征及上述第二特征直接接触(contact)构成的实施例,也可以包括额外特征在上述第一特征及上述第二特征之间构成的实施例,在这种情况下上述第一特征及上述第二特征并不会直接接触。此外,在本公开中,一特征在另一特征上、连接至另一特征,及/或耦接至另一特征的构成可以包括该特征直接接触形成的实施例,也可以包括额外特征夹设形成于这些特征之间,使这些特征不直接接触的实施例。A plurality of different embodiments or examples are provided below to realize the different features of the subject matter provided. Reference numbers and/or letters are repeated in a plurality of examples. Such repetition is for simplicity and clarity and is not used to determine the relationship between a plurality of embodiments and/or configurations. In addition, the following describes specific examples of components and arrangements to simplify the embodiments of the present invention. These examples are of course only examples and should not be limiting. For example, in the following embodiments, the formation of a first feature on a second feature may include an embodiment in which the first feature and the second feature are directly in contact, and may also include an embodiment in which an additional feature is formed between the first feature and the second feature, in which case the first feature and the second feature are not in direct contact. In addition, in the present disclosure, the formation of a feature on another feature, connected to another feature, and/or coupled to another feature may include an embodiment in which the feature is directly in contact, and may also include an embodiment in which an additional feature is formed between these features so that these features are not in direct contact.
此外,本公开会在多个范例中重复参考编号及/或字母。这样的重复是为了简洁及清楚,本身并不用以描述多个实施例及/或配置之间的关系。此外,在本公开中,一特征在另一特征上、连接至另一特征,及/或耦接至另一特征的构成可以包括该特征直接接触形成的实施例,也可以包括额外特征夹设形成于这些特征之间,使这些特征不直接接触的实施例。此外,空间相对关系用语,例如“低于”、“高于”、“水平”、“垂直”、“以上”、“之上”、“以下”、“之下”、“上”、“下”、“顶”、“底”等,以及其中衍伸的用语(例如“水平地”、“向下地”、“向上地”等)在此为了方便形容被用以描述一个元件或特征与另一个元件或特征之间的关系。空间相对关系用语旨在包括使用中或操作中的装置除了图中所描述的方向以外的不同方向。此外,当使用“大约”、“大概”,或相似的用语描述数字或数字的范围时,以上用语包括所描述的数字基于在此公开的特定技术并根据本领域的通常知识者的知识,在特定变化内(例如+/-10%或其他变化)的数字。举例来说,用语“大约5nm”包括从4.5nm到5.5nm的范围。In addition, the present disclosure will repeat reference numbers and/or letters in multiple examples. Such repetition is for simplicity and clarity, and is not used to describe the relationship between multiple embodiments and/or configurations. In addition, in the present disclosure, the formation of a feature on another feature, connected to another feature, and/or coupled to another feature may include an embodiment in which the features are directly contacted, and may also include an embodiment in which additional features are sandwiched between these features so that these features are not directly contacted. In addition, spatial relative relationship terms, such as "lower than", "higher than", "horizontally", "vertically", "above", "above", "below", "below", "up", "down", "top", "bottom", etc., and derived terms therein (such as "horizontally", "downwardly", "upwardly", etc.) are used here for convenience to describe the relationship between one element or feature and another element or feature. Spatial relative relationship terms are intended to include different directions of the device in use or operation other than the direction described in the figure. In addition, when "about", "approximately", or similar terms are used to describe a number or a range of numbers, the above terms include the numbers described based on the specific technology disclosed herein and according to the knowledge of those of ordinary skill in the art, within a specific variation (such as +/-10% or other variations). For example, the term "about 5 nm" includes a range from 4.5 nm to 5.5 nm.
本公开提供形成在半导体基板(substrate)上的集成电路(IC)的多种实施例。上述集成电路具有整合多种标准单元的设计布局。标准单元是预先设计以在个别的IC设计中使用的IC结构。有效的IC设计布局包括多个预先设计的标准单元,以及放置上述标准单元的预先定义的规则,以增进电路效能并减少电路面积。The present disclosure provides various embodiments of an integrated circuit (IC) formed on a semiconductor substrate. The integrated circuit has a design layout that integrates multiple standard cells. A standard cell is an IC structure pre-designed for use in a separate IC design. An effective IC design layout includes multiple pre-designed standard cells and pre-defined rules for placing the standard cells to improve circuit performance and reduce circuit area.
图1为集成电路(IC)结构10的俯视图,集成电路结构10根据本新型的多个实施例制造。在一些实施例中,IC结构10在平面主动区(planar active region)上形成,并且包括场效晶体管(field-effect transistor(FET))。在一些实施例中,IC结构10在鳍式主动区(fin active region)上形成,并且包括鳍式场效晶体管(fin field effect transistor(FinFET)。在其他的一些实施例中,IC结构10在具有多个通道的主动区上形成,上述通道垂直堆叠于上述主动区上,例如栅极全环场效晶体管(gate-all-round field-effecttransistor(GAA FET))。在此以IC结构10作为范例进行说明,描述一种IC结构以及用以设计、整合,以及制造标准单元的方法。FIG. 1 is a top view of an integrated circuit (IC) structure 10, which is manufactured according to various embodiments of the present invention. In some embodiments, the IC structure 10 is formed on a planar active region and includes a field-effect transistor (FET). In some embodiments, the IC structure 10 is formed on a fin active region and includes a fin field effect transistor (FinFET). In other embodiments, the IC structure 10 is formed on an active region having multiple channels, and the channels are vertically stacked on the active region, such as a gate-all-round field-effect transistor (GAA FET). The IC structure 10 is used as an example to describe an IC structure and a method for designing, integrating, and manufacturing a standard cell.
在多个实施例中,IC结构10包括由预先定义的规则放置到IC布局中的一或多个标准单元。这些标准单元被重复地使用于集成电路设计中,并因此根据制造技术预先定义并存储于标准单元库中。IC设计者可以取得这些标准单元,将这些标准单元整合至他们的IC设计中,并根据预先定义的放置规则将这些标准单元放置到IC布局中。标准单元可以包括在数字电路设计应用中常用的多个基本电路装置,例如反向器(inverter)、及(AND)、反及(NAND)、或(OR)、异或(XOR),以及反或(NOR),上述数字电路设计例如中央处理单元(central processing unit(CPU))、图形处理单元(graphic processing unit(GPU)),以及单芯片系统(systemon chip(SOC))芯片设计。标准单元可以包括其他经常使用的电路方块,例如触发器(flip-flop)电路及闩锁(latch)。In various embodiments, the IC structure 10 includes one or more standard cells placed into the IC layout by predefined rules. These standard cells are repeatedly used in integrated circuit design and are therefore predefined and stored in a standard cell library according to manufacturing technology. IC designers can obtain these standard cells, integrate them into their IC designs, and place them into the IC layout according to predefined placement rules. Standard cells can include a number of basic circuit devices commonly used in digital circuit design applications, such as inverters, AND, NAND, OR, XOR, and NOR, such as central processing units (CPUs), graphic processing units (GPUs), and system on chip (SOC) chip designs. Standard cells can include other commonly used circuit blocks, such as flip-flop circuits and latches.
IC结构10包括半导体基板12(基板12)。半导体基板12包括硅(silicon)。可选择地,基板12可以包括元素半导体,例如结晶结构(crystalline structure)的硅或锗(germanium);化合物半导体,例如硅锗(silicon germanium)、碳化硅(silicon carbide)、砷化镓(galliumarsenic)、磷化镓(gallium phosphide)、磷化铟(indiumphosphide)、砷化铟(indium arsenide),及/或锑化铟(indium antimonide);或其组合。基板12也可能包括绝缘层上硅(silicon-on-insulator(SOI))基板。SOI基板使用氧植入分离(separation byimplantation of oxygen(SIMOX))、晶圆接合(wafer bonding),及/或其他合适的方法制造。IC structure 10 includes semiconductor substrate 12 (substrate 12). Semiconductor substrate 12 includes silicon. Optionally, substrate 12 may include elemental semiconductors, such as silicon or germanium in a crystalline structure; compound semiconductors, such as silicon germanium, silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; or combinations thereof. Substrate 12 may also include a silicon-on-insulator (SOI) substrate. SOI substrates are manufactured using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
基板12也包括多个隔离部件(isolation feature),例如形成在基板12上,并定义基板12上的多个主动区的隔离部件。隔离部件使用隔离技术(例如浅沟槽隔离(shallowtrench isolation(STI)))以定义及电性隔离多个主动区。每个主动区被连续的隔离部件环绕,使得主动区与相邻的主动区分开。隔离部件包括氧化硅(silicon oxide)、氮化硅(silicon nitride)、氮氧化硅(silicon oxynitride)、其他合适的介电(dielectric)材料,或其组合。The substrate 12 also includes a plurality of isolation features, such as isolation features formed on the substrate 12 and defining a plurality of active regions on the substrate 12. The isolation features use isolation techniques, such as shallow trench isolation (STI), to define and electrically isolate the plurality of active regions. Each active region is surrounded by a continuous isolation feature, such that the active region is separated from adjacent active regions. The isolation features include silicon oxide, silicon nitride, silicon oxynitride, other suitable dielectric materials, or combinations thereof.
IC结构10只示意位于彼此旁边的两个标准单元14、16。标准单元14、16可以放置在共同的边界线上,或是可选择地放置为具有一段距离,使得标准单元14、16之间夹设填充单元18。填充单元18被配置在标准单元之间,以提供适当的分开及隔离。填充单元18包括多个部件,例如主动区、栅极堆叠等。然而,这些部件并不被配置为集成电路的元件,而是被放置以提供对于标准单元的有效隔离,以及增进集成电路的效能。标准单元14、16可以具有相同的大小,或可选择的具有不同大小。在示意的实施例中,标准单元14沿着X方向延伸尺寸D1,标准单元16沿着X方向延伸尺寸D2,并且标准单元14、16沿着Y方向延伸相同的尺寸H。填充单元18沿着X方向延伸尺寸Df。The IC structure 10 only illustrates two standard cells 14 and 16 located next to each other. The standard cells 14 and 16 can be placed on a common boundary line, or optionally placed at a distance so that a filling cell 18 is sandwiched between the standard cells 14 and 16. The filling cell 18 is configured between the standard cells to provide appropriate separation and isolation. The filling cell 18 includes a plurality of components, such as an active region, a gate stack, etc. However, these components are not configured as components of the integrated circuit, but are placed to provide effective isolation for the standard cells and to enhance the performance of the integrated circuit. The standard cells 14 and 16 can have the same size, or optionally have different sizes. In the illustrated embodiment, the standard cell 14 extends along the X direction by a dimension D1, the standard cell 16 extends along the X direction by a dimension D2, and the standard cells 14 and 16 extend along the Y direction by the same dimension H. The filling cell 18 extends along the X direction by a dimension Df.
图2A为集成电路(IC)结构20的俯视图,图2B、图2C、图2D为根据本新型实施例制造的IC结构20分别沿着虚线BB’、CC’、DD’的截面图。在一些实施例中,IC结构20在平坦的主动区上形成并包括场效晶体管(FET)。IC结构20只示意一个标准单元21,标准单元21例如可以为标准单元14或标准单元16。FIG. 2A is a top view of an integrated circuit (IC) structure 20, and FIG. 2B, FIG. 2C, and FIG. 2D are cross-sectional views of the IC structure 20 manufactured according to an embodiment of the present invention along dashed lines BB', CC', and DD', respectively. In some embodiments, the IC structure 20 is formed on a flat active region and includes a field effect transistor (FET). The IC structure 20 only illustrates one standard cell 21, which may be, for example, the standard cell 14 or the standard cell 16.
基板12也包括多个隔离部件24,隔离部件24形成在基板12上并且定义基板12上的多个主动区22。隔离部件24使用隔离技术(例如浅沟槽隔离(STI))以定义多个主动区22,以及电性上隔离多个主动区22。每个主动区22由连续的隔离部件24环绕,使得主动区22与其他相邻的主动区分开。隔离部件24包括氧化硅、氮化硅、氮氧化硅、其他合适的介电材料,或其组合。隔离部件24由任何合适的制程形成。作为范例,形成STI部件包括微影制程(lithography process)以暴露基板的一部份、在基板暴露的部份蚀刻沟槽(举例来说,借由使用干式蚀刻(dry etching)及/或湿式蚀刻(wet etching))、借由沉积(deposition)一或多种介电材料填充沟槽,以及借由研磨制程(polishing process)将基板平坦化(planarizing)并移除介电材料多余的部份,例如化学机械研磨制程(chemicalmechanical polishing(CMP)process)。在一些范例中,隔离部件24可以具有多层结构,例如氮化硅或氧化硅的热氧化衬垫层(thermal oxide liner layer)及填充层(fillinglayer)The substrate 12 also includes a plurality of isolation features 24 formed on the substrate 12 and defining a plurality of active regions 22 on the substrate 12. The isolation features 24 use isolation technology (e.g., shallow trench isolation (STI)) to define the plurality of active regions 22 and electrically isolate the plurality of active regions 22. Each active region 22 is surrounded by a continuous isolation feature 24, so that the active region 22 is separated from other adjacent active regions. The isolation features 24 include silicon oxide, silicon nitride, silicon oxynitride, other suitable dielectric materials, or combinations thereof. The isolation features 24 are formed by any suitable process. As an example, forming the STI features includes a lithography process to expose a portion of the substrate, etching a trench in the exposed portion of the substrate (for example, by using dry etching and/or wet etching), filling the trench by depositing one or more dielectric materials, and planarizing the substrate and removing excess portions of the dielectric material by a polishing process, such as a chemical mechanical polishing (CMP) process. In some examples, the isolation feature 24 may have a multi-layer structure, such as a thermal oxide liner layer of silicon nitride or silicon oxide and a filling layer.
主动区22是具有半导体表面的区域,其中形成多个掺杂部件(doped feature)并且上述掺杂部件用于一或多个装置,例如二极管、晶体管,及/或其他合适的装置。主动区22可以包括类似于基板12的块体半导体材料(例如硅)的半导体材料,或是不同的半导体材料,例如硅锗(SiGe)、碳化硅(SiC),或是借由外延生长(epitaxial growth)在基板12上形成的多层半导体材料层(例如替代性硅(alternative silicon)及硅锗层),以增进效能,例如应变效应(straineffect)以增加载子移动率(carrier mobility)。The active region 22 is a region of a semiconductor surface in which a plurality of doped features are formed and used for one or more devices, such as diodes, transistors, and/or other suitable devices. The active region 22 may include a semiconductor material similar to the bulk semiconductor material (e.g., silicon) of the substrate 12, or a different semiconductor material, such as silicon germanium (SiGe), silicon carbide (SiC), or multiple semiconductor material layers (e.g., alternative silicon and silicon germanium layers) formed on the substrate 12 by epitaxial growth to enhance performance, such as strain effects to increase carrier mobility.
在公开的实施例中,主动区22是三维的,例如垂直地延伸至隔离部件上的鳍式主动区(主动区22也称为鳍式主动区22)。鳍式主动区22从基板12突出并具有三维的轮廓,以更有效地耦接于FET的通道及栅极电极之间。详细而言,基板12具有上表面12A,并且鳍式主动区22具有在基板12的上表面12A上的上表面22A。鳍式主动区22可以借由选择性蚀刻以凹陷隔离部件24来形成,或是借由选择性外延生长以使用相同或不同于基板12的半导体材料生长主动区来形成,或其组合。在公开的实施例中,鳍式主动区22沿着X方向纵向地定向(orient)。In the disclosed embodiment, the active region 22 is three-dimensional, such as a fin-type active region (active region 22 is also referred to as fin-type active region 22) extending vertically to the isolation component. The fin-type active region 22 protrudes from the substrate 12 and has a three-dimensional profile to more effectively couple between the channel and gate electrodes of the FET. In detail, the substrate 12 has an upper surface 12A, and the fin-type active region 22 has an upper surface 22A on the upper surface 12A of the substrate 12. The fin-type active region 22 can be formed by selectively etching to recess the isolation component 24, or by selective epitaxial growth to grow the active region using the same or different semiconductor material from the substrate 12, or a combination thereof. In the disclosed embodiment, the fin-type active region 22 is oriented longitudinally along the X direction.
半导体基板12更包括多个掺杂部件,例如n型掺杂井(doped well)、p型掺杂井、源极及漏极部件、其他掺杂部件,或其组合,上述掺杂部件被配置以形成多个装置或装置的元件,例如场效晶体管的源极及漏极部件。在图2A示意的范例中,IC结构20如图2A所示包括负掺杂(negatively doped)井(也称为n井)26以及正掺杂(positively doped)井(也称为p井)28。n井26包括负掺杂物(dopant),例如磷(phosphorus)。p井28包括正掺杂物(dopant),例如硼(boron)。n井26及p井28由合适的技术形成,例如离子布植(ion implantation)、扩散(diffusion),或其组合。在本实施例中,两个鳍式主动区22形成在n井26中,并且另外两个鳍式主动区22形成在p井28中。在一些实施例中,每个掺杂井(n井26或p井28)可以包括更多或更少的鳍式主动区22,例如一个、三个、四个,或任何合适的数量的鳍式主动区22。The semiconductor substrate 12 further includes a plurality of doped components, such as an n-type doped well, a p-type doped well, source and drain components, other doped components, or combinations thereof, which are configured to form a plurality of devices or components of a device, such as source and drain components of a field effect transistor. In the example illustrated in FIG. 2A , the IC structure 20 includes a negatively doped well (also referred to as an n-well) 26 and a positively doped well (also referred to as a p-well) 28 as shown in FIG. 2A . The n-well 26 includes a negative dopant, such as phosphorus. The p-well 28 includes a positive dopant, such as boron. The n-well 26 and the p-well 28 are formed by suitable techniques, such as ion implantation, diffusion, or combinations thereof. In the present embodiment, two fin-type active regions 22 are formed in the n-well 26, and another two fin-type active regions 22 are formed in the p-well 28. In some embodiments, each doped well (n-well 26 or p-well 28 ) may include more or fewer fin-type active regions 22 , such as one, three, four, or any suitable number of fin-type active regions 22 .
半导体基板12上形成多个IC装置。IC装置包括鳍式场效晶体管(fin field-effect transistor(FinFET))、二极管、双极性晶体管(bipolar transistor)、图像感测器、电阻、电容、电导、存储器单元,或其组合。在图2A中,范例的鳍式场效晶体管只是示意说明。A plurality of IC devices are formed on the semiconductor substrate 12. The IC devices include fin field-effect transistors (FinFETs), diodes, bipolar transistors, image sensors, resistors, capacitors, conductors, memory cells, or combinations thereof. In FIG. 2A , the exemplary FinFET is only schematically illustrated.
IC结构20更包括多个栅极30,栅极30为沿着Y方向纵向地定向的长条形。在本实施例中,X及Y方向是正交的(orthogonal),并且定义了半导体基板12的上表面。栅极30包括栅极堆叠32,栅极堆叠32更包括介电层及栅极电极。栅极30可以更包括栅极间隔物(spacer)34,栅极间隔物34位在栅极堆叠的侧壁上并具有一或多个功能,例如在栅极电极及源极/漏极(S/D)部件之间提供隔离。栅极间隔物34包括一或多个介电材料,例如氧化硅、氮化硅,其他合适的介电材料,或其组合。栅极间隔物34由合适的制程形成,例如沉积介电材料并非等向性(anisotropic)蚀刻,例如等离子体蚀刻(plasma etching)。栅极堆叠32是FET的部件并与其他部件一起运行,例如源极/漏极(S/D)部件36及通道38,其中通道38位在主动区直接位在栅极堆叠32下的部份中;S/D部件36位在主动区中以及栅极堆叠30的两侧上。在此使用的源极/漏极(S/D)部件可以指装置的源极或漏极。源极/漏极(S/D)部件也可以指提供多个装置源极及/或漏极的区域。应注意的是,栅极30不应该与逻辑闸(例如NOR逻辑闸)混淆。栅极堆叠32在以下有更详细的说明。The IC structure 20 further includes a plurality of gates 30, which are long strips oriented longitudinally along the Y direction. In the present embodiment, the X and Y directions are orthogonal and define the upper surface of the semiconductor substrate 12. The gate 30 includes a gate stack 32, and the gate stack 32 further includes a dielectric layer and a gate electrode. The gate 30 may further include a gate spacer 34, which is located on the sidewall of the gate stack and has one or more functions, such as providing isolation between the gate electrode and the source/drain (S/D) components. The gate spacer 34 includes one or more dielectric materials, such as silicon oxide, silicon nitride, other suitable dielectric materials, or a combination thereof. The gate spacer 34 is formed by a suitable process, such as depositing a dielectric material and not anisotropic etching, such as plasma etching. The gate stack 32 is a component of the FET and operates in conjunction with other components, such as source/drain (S/D) components 36 and channel 38, wherein channel 38 is located in the portion of the active region directly below the gate stack 32; S/D components 36 are located in the active region and on both sides of the gate stack 30. As used herein, source/drain (S/D) components may refer to the source or drain of a device. Source/drain (S/D) components may also refer to regions that provide multiple device sources and/or drains. It should be noted that the gate 30 should not be confused with a logic gate (e.g., a NOR logic gate). The gate stack 32 is described in more detail below.
在一些实施例中,IC结构20也包括位在半导体基板上的介电栅极40。介电栅极40不是栅极也不具有栅极的功能。相对的,介电栅极40例如是包括一或多个介电材料的介电部件,并具有介电部件的功能。在一些实施例中,介电栅极40被加入以调整栅极密度以改善制造。举例来说,当栅极密度均匀时,可以将CMP制程应用于IC结构20,并可以达到更好及改善的平坦化效果。在公开的实施例中,如图2E以俯视图所示,介电栅极40在标准单元21的边界上形成。In some embodiments, the IC structure 20 also includes a dielectric gate 40 located on the semiconductor substrate. The dielectric gate 40 is not a gate and does not have the function of a gate. In contrast, the dielectric gate 40 is, for example, a dielectric component including one or more dielectric materials and has the function of a dielectric component. In some embodiments, the dielectric gate 40 is added to adjust the gate density to improve manufacturing. For example, when the gate density is uniform, a CMP process can be applied to the IC structure 20, and a better and improved planarization effect can be achieved. In the disclosed embodiment, as shown in FIG. 2E in a top view, the dielectric gate 40 is formed on the boundary of the standard cell 21.
每个介电栅极40也是沿着Y方向纵向地定向的长条形。介电栅极40在形成上与栅极30相似。在一些实施例中,栅极30及介电栅极40由一个制程一起形成,例如栅极后置制程(gate-last process)。在进一步的实施例中,先借由沉积及图案化形成虚置栅极(dummygate),其中上述图案化更包括微影制程及蚀刻。在形成源极/漏极部件后,借由选择性蚀刻移除虚置栅极。之后,替换一部份的虚置栅极,以借由沉积栅极介电层(gate dielectriclayer)及栅极电极形成栅极30,其余的虚置栅极则被取代以借由沉积单一一个或是多个介电材料形成介电栅极40。接着可以使用CMP制程以移除栅极30及介电栅极40多余的材料。此外,介电栅极40的设置及配置是不同的,并因此具有不同的功能。在本实施例中,一些介电栅极40被放置于标准单元的边界上,以发挥隔离的功能将一个标准单元与相邻的标准单元分开,并且一些介电栅极40因为一或多个考量被放置于标准单元中,例如相邻的FET之间的隔离,以及调整图案密度。因此,介电栅极40在相邻的IC装置之间提供隔离功能,并且额外提供调整图案密度的功能,以改善制造,例如蚀刻、沉积,以及CMP。Each dielectric gate 40 is also a long strip oriented longitudinally along the Y direction. The dielectric gate 40 is similar to the gate 30 in formation. In some embodiments, the gate 30 and the dielectric gate 40 are formed together by a process, such as a gate-last process. In a further embodiment, a dummy gate is first formed by deposition and patterning, wherein the patterning further includes a lithography process and etching. After forming the source/drain components, the dummy gate is removed by selective etching. Thereafter, a portion of the dummy gate is replaced to form the gate 30 by depositing a gate dielectric layer and a gate electrode, and the remaining dummy gate is replaced to form the dielectric gate 40 by depositing a single or multiple dielectric materials. A CMP process can then be used to remove excess material from the gate 30 and the dielectric gate 40. In addition, the arrangement and configuration of the dielectric gate 40 are different and therefore have different functions. In this embodiment, some dielectric gates 40 are placed on the boundaries of standard cells to perform an isolation function to separate one standard cell from an adjacent standard cell, and some dielectric gates 40 are placed in the standard cell for one or more considerations, such as isolation between adjacent FETs and adjusting pattern density. Therefore, the dielectric gates 40 provide isolation between adjacent IC devices and additionally provide a function of adjusting pattern density to improve manufacturing, such as etching, deposition, and CMP.
在上述多个实施例中,栅极堆叠32更参考图3A~图3C的截面图根据多个实施例进行说明。如图3A所示,栅极堆叠32包括栅极介电层42(例如氧化硅),以及位在栅极介电层42上的栅极电极44(例如掺杂的多晶硅(polysilicon))。In the above-mentioned multiple embodiments, the gate stack 32 is further described according to multiple embodiments with reference to the cross-sectional views of Figures 3A to 3C. As shown in Figure 3A, the gate stack 32 includes a gate dielectric layer 42 (such as silicon oxide) and a gate electrode 44 (such as doped polysilicon) located on the gate dielectric layer 42.
在一些实施例中,栅极堆叠32可选择的或可附加的包括其他适合电路性能及制造整合的材料。举例来说,如图3B所示栅极介电层42包括界面层(interfacial layer)42A(例如氧化硅)及高介电常数介电材料层(high k dielectric material layer)42B。高介电常数介电材料可以包括金属氧化物、金属氮化物,或是金属氮氧化物。在多个范例中,高介电常数介电材料层42B包括金属氧化物ZrO2、Al2O3,以及HfO2,上述金属氧化物由合适的方法形成,例如金属有机化学气相沉积(metal organic chemical vapor deposition(MOCVD))、物理气相沉积(physical vapor deposition(PVD))、原子层沉积(atomic layerdeposition(ALD)),或是分子束外延法(molecular beam epitaxy(MBE))。在一些范例中,界面层包括由ALD、热氧化(thermal oxidation)或是紫外线臭氧(ultraviolet-Ozone)氧化形成的氧化硅。栅极电极1204包括金属,例如铝(aluminum)、铜(copper)、钨(tungsten)、金属硅化物(metal silicide)、掺杂的多晶硅、其他合适的导电材料,或其组合。栅极电极可以包括多个导电薄膜(conductive film),例如设计为盖层(capping layer)、功函数金属层(work function metal layer)、阻障层(blocking layer),以及填充金属层(fillingmetal layer)(例如铝或钨)。多个导电薄膜被设计为具有分别匹配n型FET(nFET)及p型FET(pFET)的功函数。在一些实施例中,nFET的栅极电极包括组成成份被设计为功函数小于等于4.2eV的功函数金属,pFET的栅极电极包括组成成份被设计为功函数大于等于5.2eV的功函数金属。举例而言,nFET的功函数金属层包括钽(tantalum)、钛铝(titanium aluminum)、氮化钛铝(titanium aluminum nitride),或其组合。在其他范例中,pFET的功函数金属层包括氮化钛(titanium nitride)、氮化钽(tantalum nitride),或其组合。In some embodiments, the gate stack 32 may alternatively or additionally include other materials suitable for circuit performance and manufacturing integration. For example, as shown in FIG. 3B , the gate dielectric layer 42 includes an interfacial layer 42A (e.g., silicon oxide) and a high-k dielectric material layer 42B. The high-k dielectric material may include metal oxides, metal nitrides, or metal oxynitrides. In many examples, the high-k dielectric material layer 42B includes metal oxides ZrO 2 , Al 2 O 3 , and HfO 2 , and the above metal oxides are formed by a suitable method, such as metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), atomic layer deposition (ALD), or molecular beam epitaxy (MBE). In some examples, the interfacial layer includes silicon oxide formed by ALD, thermal oxidation, or ultraviolet-ozone oxidation. The gate electrode 1204 includes a metal, such as aluminum, copper, tungsten, metal silicide, doped polysilicon, other suitable conductive materials, or a combination thereof. The gate electrode may include a plurality of conductive films, such as a capping layer, a work function metal layer, a blocking layer, and a filling metal layer (such as aluminum or tungsten). The plurality of conductive films are designed to have work functions that match n-type FETs (nFETs) and p-type FETs (pFETs), respectively. In some embodiments, the gate electrode of the nFET includes a work function metal whose composition is designed to have a work function less than or equal to 4.2 eV, and the gate electrode of the pFET includes a work function metal whose composition is designed to have a work function greater than or equal to 5.2 eV. For example, the work function metal layer of the nFET includes tantalum, titanium aluminum, titanium aluminum nitride, or a combination thereof. In other examples, the work function metal layer of the pFET includes titanium nitride, tantalum nitride, or a combination thereof.
在一些图3C示意的实施例中,栅极堆叠32以不同方法形成并具有不同结构。栅极堆叠32可以由多个沉积技术及合适的制程形成,例如栅极后置制程,其中先形成虚置栅极,在源极/漏极部件形成之后,由金属栅极替代上述虚置栅极。可选择的,栅极堆叠32由后高介电常数制程(high-k last process)形成,其中栅极介电层及栅极电极在源极/漏极部件形成之后分别由高介电常数介电材料及金属替代。在后高介电常数制程中,先借由沉积及图案化形成虚置栅极;之后在栅极堆叠的多侧(side)上形成源极/漏极部件,并在基板上形成层间介电层(inter-layer dielectric layer);借由蚀刻移除虚置栅极以制造栅极沟槽;以及之后在栅极沟槽中沉积栅极材料(包括栅极介电层及栅极电极的材料)。在本范例中,栅极电极44包括功函数金属层44A及填充金属44B,例如铝或铜。如此形成的栅极堆叠32具有呈U形的多个栅极材料层。栅极电极44及互连结构(将在以下描述)中的多个导电部件的组成成份基于实验数据、模拟及分析对于材料整合、制造,以及装置效能做出良好的设计。在公开的实施例中,栅极电极44不具有钨(W),但是包括氮化钛(TiN)(例如p型FET的栅极电极),或是包括氮化钛铝(TiNAl)(例如n型FET的栅极电极)。In some embodiments illustrated in FIG. 3C , the gate stack 32 is formed by different methods and has different structures. The gate stack 32 can be formed by a plurality of deposition techniques and suitable processes, such as a gate-last process, in which a dummy gate is first formed, and after the source/drain components are formed, the dummy gate is replaced by a metal gate. Optionally, the gate stack 32 is formed by a high-k last process, in which the gate dielectric layer and the gate electrode are replaced by a high-k dielectric material and a metal, respectively, after the source/drain components are formed. In the high-k last process, a dummy gate is first formed by deposition and patterning; then source/drain components are formed on multiple sides of the gate stack, and an inter-layer dielectric layer is formed on the substrate; the dummy gate is removed by etching to form a gate trench; and then a gate material (including the material of the gate dielectric layer and the gate electrode) is deposited in the gate trench. In this example, the gate electrode 44 includes a work function metal layer 44A and a fill metal 44B, such as aluminum or copper. The gate stack 32 thus formed has multiple gate material layers in a U-shape. The composition of the gate electrode 44 and multiple conductive features in the interconnect structure (described below) is well designed for material integration, manufacturing, and device performance based on experimental data, simulations, and analysis. In the disclosed embodiment, the gate electrode 44 does not have tungsten (W), but includes titanium nitride (TiN) (such as the gate electrode of a p-type FET), or includes titanium aluminum nitride (TiNAl) (such as the gate electrode of an n-type FET).
IC结构20包括根据预先定义的规则放置及配置于半导体基板12上的多个标准单元。标准单元是一组提供布林(Boolean)功能或存储功能(例如触发器或闩锁)的逻辑晶体管及互连结构。标准单元预先设计好并收集在IC标准单元库中,以在IC设计期间重复使用,达成相容、一致,以及有效率的IC设计及IC制造。填充单元(filler cell)为夹设(insert)在两个相邻的标准单元之间的IC设计方块,以相容IC设计及IC制造规则。标准单元及填充单元的适当设计及配置可以增加封装密度(packing density)及电路效能。在图2E示意的实施例中,每个标准单元包括配置在沿着Y方向定向的两个边界线上的两个介电栅极。每个填充单元可以包括位在沿着X方向定向的两个边界线上的两个介电栅极。此外,标准单元及相邻的填充单元共用位在共同边界上的介电栅极。The IC structure 20 includes a plurality of standard cells placed and configured on the semiconductor substrate 12 according to predefined rules. A standard cell is a group of logic transistors and interconnect structures that provide a Boolean function or a storage function (such as a trigger or a latch). The standard cells are pre-designed and collected in an IC standard cell library to be reused during IC design to achieve compatible, consistent, and efficient IC design and IC manufacturing. A filler cell is an IC design block inserted between two adjacent standard cells to be compatible with IC design and IC manufacturing rules. Appropriate design and configuration of standard cells and filler cells can increase packaging density and circuit performance. In the embodiment illustrated in FIG. 2E , each standard cell includes two dielectric gates configured on two boundary lines oriented along the Y direction. Each filler cell may include two dielectric gates located on two boundary lines oriented along the X direction. In addition, the standard cell and the adjacent filler cell share a dielectric gate located on a common boundary.
参考回图2A~图2D,IC结构20更包括互连结构46,互连结构46位在基板12上并被配置以将多个装置耦接至集成电路中。互连结构46包括分布在多个金属层中的金属线,上述金属线用于水平布线(routing)、通孔及接点,以在相邻的金属层之间提供垂直连接,或是在最低的金属层及基板12或形成在基板12上的其他装置部件(例如栅极电极)之间提供垂直连接。多个导电部件嵌入(embed)于层间介电层(interlayer dielectric(ILD)layer)48中,或是额外地嵌入于位在ILD层48下的蚀刻停止层(etch-stop layer(ESL))中。ILD层48包括一或多个合适的介电材料,例如低介电常数(low-k)介电材料、氧化硅、其他合适的介电材料,或其组合。ILD层48由合适的制程形成,例如沉积及化学机械研磨(CMP)。Referring back to FIGS. 2A-2D , the IC structure 20 further includes an interconnect structure 46 disposed on the substrate 12 and configured to couple a plurality of devices into the integrated circuit. The interconnect structure 46 includes metal lines distributed in a plurality of metal layers, the metal lines being used for horizontal routing, vias, and contacts to provide vertical connections between adjacent metal layers, or between the lowest metal layer and the substrate 12 or other device components (e.g., gate electrodes) formed on the substrate 12. A plurality of conductive components are embedded in an interlayer dielectric (ILD) layer 48, or additionally embedded in an etch-stop layer (ESL) disposed below the ILD layer 48. The ILD layer 48 includes one or more suitable dielectric materials, such as a low-k dielectric material, silicon oxide, other suitable dielectric materials, or combinations thereof. The ILD layer 48 is formed by a suitable process, such as deposition and chemical mechanical polishing (CMP).
在公开的实施例中,互连结构46包括栅极接点50,栅极接点50位在栅极30上并电性连接栅极30的栅极电极。栅极接点50包括一或多个导电材料,例如钛(Ti)、氮化钛(TiN)、钨(W),或其组合。在一实施例,栅极接点50包括块体钨(bulk W),以及环绕上述块体钨的Ti及TiN的保形阻障层(conformal barrier layer)。栅极接点50的形成包括图案化ILD层48、沉积导电材料,以及CMP。特别是,栅极接点50与S/D部件36在形成方式及组成成份上不同,以优化制造能力及制程窗口,这在以下会以S/D接点更详细的描述。In the disclosed embodiment, the interconnect structure 46 includes a gate contact 50, which is located on the gate 30 and electrically connected to the gate electrode of the gate 30. The gate contact 50 includes one or more conductive materials, such as titanium (Ti), titanium nitride (TiN), tungsten (W), or a combination thereof. In one embodiment, the gate contact 50 includes bulk tungsten (bulk W) and a conformal barrier layer of Ti and TiN surrounding the bulk tungsten. The formation of the gate contact 50 includes patterning the ILD layer 48, depositing the conductive material, and CMP. In particular, the gate contact 50 is formed differently and composed differently from the S/D features 36 to optimize manufacturing capabilities and process windows, which will be described in more detail below with respect to the S/D contacts.
互连结构46包括分布在两层中分别形成的S/D接点,每个上述S/D接点由包括沉积、微影、图案化,以及蚀刻的制程所形成。详细而言,互连结构46包括位在S/D部件36上的第一源极/漏极(S/D)接点52,以及位在第一S/D接点52上方的第二源极/漏极(S/D)接点54。第一S/D接点52及第二S/D接点54在组成成份及形成方式上不同。在公开的实施例中,第一S/D接点52包括钨(W),第二S/D接点54包括钨(W)或钌(ruthenium)。第一S/D接点52及第二S/D接点54分别由单独的制程形成,其中根据一些实施例每个制程包括由微影图案化、蚀刻、沉积,以及CMP。此外,用以形成栅极接点50的制程与用以形成第一S/D接点52的制程及用以形成第二S/D接点54的制程分开。如下所述,因为多个考量、制造资料,以及模拟资料,接点结构、制造制程及组成成份被如此设计。当IC技术进步到具有更小的部件尺寸的更先进的技术节点时,接点尺寸及接点与相邻的导电部件之间的间隔也会缩小。因此,错位(misalignment)容忍因为减少的间隔而减少,在导电材料沉积期间的间隙(沟槽或洞)填充能力也因为沟槽的开口尺寸的减少而减少,并且接点导电性也因为接点尺寸减少到对应材料的平均自由路径(mean free path)以下而减少。因此,S/D接点结构以较高的自由分布在两层中,具有不同尺寸及不同组成成份的更高自由,使得第一S/D接点52被设计为具有较小尺寸并且使用导电材料,以具有高间隙填充效率,第二S/D接点54被设计为具有较大尺寸并且使用导电材料,以具有间隙填充效率及导电性。举例来说,第二S/D接点54的组成成份被设计为例如钨(W)或钌(Ru)。在更详细的范例中,钌在接点尺寸减少到特定尺寸以下时具有相对较高的导电性,钌可以与ILD层更好的整合。因此,阻障层可以在没有交互扩散(interdiffusion)的问题下被移除,并且块体钌的尺寸因此相对地扩大。对于栅极接点50而言,在分开地形成时,错位问题可以通过因为多图案化技术而增加的图案化解析度获得改善,例如包括选择性沉积、自对准蚀刻(self-aligned etch),或其组合的自对准制程(self-aligned process)。此外,栅极接点50可以在尺寸及组成成份上更自由的设计。举例来说,栅极接点50可以使用钨,或是可以更包括Ti/TiN作为阻障层并且栅极电极不具有钨,以达到蚀刻选择性并减少在栅极堆叠50形成期间的栅极伤害。The interconnect structure 46 includes S/D contacts formed separately in two layers, each of which is formed by a process including deposition, lithography, patterning, and etching. In detail, the interconnect structure 46 includes a first source/drain (S/D) contact 52 located on the S/D component 36, and a second source/drain (S/D) contact 54 located above the first S/D contact 52. The first S/D contact 52 and the second S/D contact 54 are different in composition and formation. In the disclosed embodiment, the first S/D contact 52 includes tungsten (W), and the second S/D contact 54 includes tungsten (W) or ruthenium. The first S/D contact 52 and the second S/D contact 54 are formed by separate processes, wherein each process includes lithography patterning, etching, deposition, and CMP according to some embodiments. In addition, the process for forming the gate contact 50 is separate from the process for forming the first S/D contact 52 and the process for forming the second S/D contact 54. As described below, the contact structure, manufacturing process, and composition are designed as such because of a number of considerations, manufacturing data, and simulation data. As IC technology advances to more advanced technology nodes with smaller feature sizes, the contact size and the spacing between the contact and the adjacent conductive features also decrease. Therefore, misalignment tolerance is reduced due to the reduced spacing, gap (trench or hole) filling capability during conductive material deposition is also reduced due to the reduction in the opening size of the trench, and contact conductivity is also reduced as the contact size is reduced to below the mean free path of the corresponding material. Therefore, the S/D contact structure is distributed in two layers with higher freedom, with higher freedom of different sizes and different compositions, so that the first S/D contact 52 is designed to have a smaller size and use a conductive material to have a high gap filling efficiency, and the second S/D contact 54 is designed to have a larger size and use a conductive material to have gap filling efficiency and conductivity. For example, the composition of the second S/D contact 54 is designed to be, for example, tungsten (W) or ruthenium (Ru). In a more detailed example, ruthenium has a relatively high conductivity when the contact size is reduced below a certain size, and ruthenium can be better integrated with the ILD layer. Therefore, the barrier layer can be removed without interdiffusion problems, and the size of the bulk ruthenium is relatively enlarged. For the gate contact 50, when formed separately, the misalignment problem can be improved by increasing the patterning resolution due to multi-patterning technology, such as a self-aligned process including selective deposition, self-aligned etching, or a combination thereof. In addition, the gate contact 50 can be designed more freely in terms of size and composition. For example, the gate contact 50 can use tungsten, or can further include Ti/TiN as a barrier layer and the gate electrode has no tungsten to achieve etching selectivity and reduce gate damage during the formation of the gate stack 50.
栅极接点50、第一S/D接点52,以及第二S/D接点54可以以任何合适的顺序形成以优化制造效能。在一些实施例中,第一S/D接点52先由镶嵌制程(damascene process)形成,上述镶嵌制程包括图案化ILD层48以形成接点孔(或接点沟槽);借由沉积填充对应的导电材料;以及CMP。之后,由相似于形成第一S/D接点52的制程形成第二S/D接点54。之后,由相似于形成第一S/D接点52的制程形成栅极接点50。The gate contact 50, the first S/D contact 52, and the second S/D contact 54 can be formed in any suitable order to optimize manufacturing performance. In some embodiments, the first S/D contact 52 is first formed by a damascene process, which includes patterning the ILD layer 48 to form a contact hole (or contact trench); filling the corresponding conductive material by deposition; and CMP. Then, the second S/D contact 54 is formed by a process similar to the process of forming the first S/D contact 52. Then, the gate contact 50 is formed by a process similar to the process of forming the first S/D contact 52.
额外的设计标准单元(design standard cell)更被应用于接点,包括位置、尺寸、形状,或其组合,这将在以下进一步说明。第一S/D接点52及第二S/D接点54具有不同形状及配置,例如图2A所示。在公开的实施例中,如图2A所示,第一S/D接点52为沿着Y方向纵向地定向的长条形,并且延伸到相邻的主动区22上并电性连接至相邻的主动区22,第二S/D接点54则是方形的,并且位在第一S/D接点52上。在进一步的实施例中,第二S/D接点54在相同方向(X方向)上延伸的尺寸小于第一S/D接点52,使得第二S/D接点54完全地位在第一S/D接点52上。在一些实施例中,第一S/D接点52从n井26在多个主动区上延伸至p井28,相应的第二S/D接点54位在第一S/D接点52上并可以被配置于n井26或p井28中。Additional design standard cells are further applied to the contacts, including location, size, shape, or a combination thereof, which will be further described below. The first S/D contact 52 and the second S/D contact 54 have different shapes and configurations, such as shown in FIG. 2A. In the disclosed embodiment, as shown in FIG. 2A, the first S/D contact 52 is a long strip oriented longitudinally along the Y direction, and extends to the adjacent active region 22 and is electrically connected to the adjacent active region 22, and the second S/D contact 54 is square and is located on the first S/D contact 52. In a further embodiment, the second S/D contact 54 extends in the same direction (X direction) with a smaller dimension than the first S/D contact 52, so that the second S/D contact 54 is completely located on the first S/D contact 52. In some embodiments, the first S/D contact 52 extends from the n-well 26 to the p-well 28 on multiple active regions, and the corresponding second S/D contact 54 is located on the first S/D contact 52 and can be configured in the n-well 26 or the p-well 28.
图4A~图4D为根据多个实施例制造的标准IC单元21的俯视图。在公开的实施例中,标准IC单元21包括多个主动区,例如2~10个主动区。标准IC单元21的长形主动区22沿着X方向纵向地定向,栅极30沿着Y方向纵向地定向。在公开的实施例中,标准IC单元21包括位在单元边界线上的介电栅极40。在一些实施例中,位在单元边界线上的介电栅极40可以被金属栅极30替代,这取决于各别应用及设计考虑。主动区22可以为平面主动区或鳍式主动区,或是具有多个垂直堆叠的通道的主动区,例如栅极全环(gate-all-around(GAA))结构。4A to 4D are top views of a standard IC cell 21 manufactured according to a plurality of embodiments. In the disclosed embodiment, the standard IC cell 21 includes a plurality of active regions, for example, 2 to 10 active regions. The elongated active region 22 of the standard IC cell 21 is longitudinally oriented along the X direction, and the gate 30 is longitudinally oriented along the Y direction. In the disclosed embodiment, the standard IC cell 21 includes a dielectric gate 40 located on the cell boundary line. In some embodiments, the dielectric gate 40 located on the cell boundary line may be replaced by a metal gate 30, depending on individual applications and design considerations. The active region 22 may be a planar active region or a fin-type active region, or an active region having a plurality of vertically stacked channels, such as a gate-all-around (GAA) structure.
对于栅极接点50而言,配置分为三个种类/类型,这参考图4A~图4D有更详细的描述。栅极接点50的三个类型被配置于不同环境中。栅极接点50的第一类型被称为栅极接点50A(第一类型栅极接点50A),如图4A所示,栅极接点50A被配置于沿着X方向的两侧都在S/D接点旁边的位置。栅极接点50的第二类型被称为栅极接点50B(第二类型栅极接点50B),如图4A所示,栅极接点50B被配置于沿着X方向的一侧位在S/D接点旁边的位置。栅极接点50的第三类型被称为栅极接点50C(第三类型栅极接点50C),如图4A所示,栅极接点50C被配置于沿着X方向的两侧都没有或远离S/D接点的位置。S/D接点位在栅极接点的旁边被称为相邻。通常,栅极30被均匀地配置为具有栅极节距(gate pitch)P,如图8A所示,栅极节距P被定义为从栅极边缘到相邻栅极的相同边缘的尺寸。在此,S/D接点相邻于栅极接点的定义为S/D接点与栅极接点之间的距离小于等于栅极节距P/2。如果栅极接点与没有S/D接点相邻,上述栅极接点被称为没有任何S/D接点。For the gate contact 50, the configuration is divided into three categories/types, which are described in more detail with reference to Figures 4A to 4D. The three types of gate contacts 50 are configured in different environments. The first type of gate contact 50 is called gate contact 50A (first type gate contact 50A), as shown in Figure 4A, the gate contact 50A is configured at a position where both sides along the X direction are next to the S/D contact. The second type of gate contact 50 is called gate contact 50B (second type gate contact 50B), as shown in Figure 4A, the gate contact 50B is configured at a position where one side along the X direction is next to the S/D contact. The third type of gate contact 50 is called gate contact 50C (third type gate contact 50C), as shown in Figure 4A, the gate contact 50C is configured at a position where there is no S/D contact on both sides along the X direction or far away from the S/D contact. The S/D contact is located next to the gate contact is called adjacent. Typically, the gate 30 is uniformly configured to have a gate pitch P, as shown in FIG8A , where the gate pitch P is defined as the dimension from the edge of the gate to the same edge of the adjacent gate. Here, the S/D contact adjacent to the gate contact is defined as the distance between the S/D contact and the gate contact being less than or equal to the gate pitch P/2. If the gate contact is adjacent to no S/D contact, the gate contact is referred to as having no S/D contact.
栅极接点50的三种类型被配置于不同环境中,因此具有不同的自由及不同程度的关注,并因此被设计为不同尺寸及形状。设计的考量包括较大尺寸(导致接点电阻下降)及较小尺寸(导致较少的短路问题及更大的制程窗口)之间的权衡。The three types of gate contacts 50 are configured in different environments, and therefore have different freedoms and different levels of concern, and are therefore designed with different sizes and shapes. Design considerations include the trade-off between larger size (resulting in lower contact resistance) and smaller size (resulting in fewer short circuit issues and a larger process window).
第一类型栅极接点50A因为受到较多来自环境的应力(constrain),被设计为较小的尺寸D1以避免短路问题。第二类型栅极接点50B因为只有一侧受到应力,被配置为中间的尺寸D2以避免较不严谨(less stringent)的短路问题。尺寸D2大于尺寸D1。在公开的实施例中,尺寸D2与尺寸D1的比例(尺寸D2/尺寸D1)在1.2到1.5之间。在一些实施例中,第一类型栅极接点50A是正方形(square)的,第二类型栅极接点50B是长方形(rectangle)的(也称为槽接点)。此外,第二类型栅极接点50B可以位在不对称的位置,使得中心从具有S/D接点的那一侧向没有任何S/D接点的那一侧偏移。The first type gate contact 50A is designed to be smaller in size D1 to avoid short circuit problems because it is subject to more stress (constrain) from the environment. The second type gate contact 50B is configured to be an intermediate size D2 to avoid less stringent short circuit problems because only one side is subject to stress. Size D2 is greater than size D1. In the disclosed embodiment, the ratio of size D2 to size D1 (size D2/size D1) is between 1.2 and 1.5. In some embodiments, the first type gate contact 50A is square and the second type gate contact 50B is rectangular (also called a slot contact). In addition, the second type gate contact 50B can be located in an asymmetric position so that the center is offset from the side with the S/D contact to the side without any S/D contact.
第三类型栅极接点50C因为没有来自两侧的应力的开放空间,被设计为较大的尺寸D3(第三尺寸)以增加接点面积及减少接点阻抗。在公开的实施例中,尺寸D3与尺寸D2的比例(尺寸D3/尺寸D2)和尺寸D2与尺寸D1的比例(尺寸D2/尺寸D1)相同。在进一步的实施例中,尺寸D3与尺寸D2的比例(尺寸D3/尺寸D2)在1.2及1.5之间。在一些实施例中,第三类型栅极接点50C为长方形,因为第三类型栅极接点50C有延伸到两侧的自由。此外,第三类型栅极接点50C可以位在对称的位置,使得中心沿着X方向对准栅极30的中心。特别是,第三类型栅极接点50C以大于20%的裕度(margin)在每一侧延伸超过栅极30的边缘。The third type gate contact 50C is designed to have a larger dimension D3 (third dimension) to increase the contact area and reduce the contact resistance because there is no open space for stress from both sides. In the disclosed embodiment, the ratio of dimension D3 to dimension D2 (dimension D3/dimension D2) and the ratio of dimension D2 to dimension D1 (dimension D2/dimension D1) are the same. In further embodiments, the ratio of dimension D3 to dimension D2 (dimension D3/dimension D2) is between 1.2 and 1.5. In some embodiments, the third type gate contact 50C is rectangular because the third type gate contact 50C has the freedom to extend to both sides. In addition, the third type gate contact 50C can be located in a symmetrical position so that the center is aligned with the center of the gate 30 along the X direction. In particular, the third type gate contact 50C extends beyond the edge of the gate 30 on each side with a margin greater than 20%.
第一S/D接点52、第二S/D接点54也被设计为具有合适的尺寸以优化制程窗口及接点面积。第一S/D接点52沿着X方向延伸尺寸D4,第二S/D接点54沿着X方向延伸尺寸D5,其中尺寸D4与尺寸D5不同。详细而言,根据多个实施例尺寸D4大于尺寸D5。在进一步的实施例中,尺寸D4与尺寸D5的比例(尺寸D4/尺寸D5)在1.2到1.4之间。在一些实施例中,尺寸D4与尺寸D1的比例(尺寸D4/尺寸D1)在0.8到1.2之间。The first S/D contact 52 and the second S/D contact 54 are also designed to have appropriate sizes to optimize the process window and the contact area. The first S/D contact 52 extends along the X direction by a dimension D4, and the second S/D contact 54 extends along the X direction by a dimension D5, wherein the dimension D4 is different from the dimension D5. In detail, according to various embodiments, the dimension D4 is greater than the dimension D5. In further embodiments, the ratio of the dimension D4 to the dimension D5 (dimension D4/dimension D5) is between 1.2 and 1.4. In some embodiments, the ratio of the dimension D4 to the dimension D1 (dimension D4/dimension D1) is between 0.8 and 1.2.
互连结构46包括分布于多个金属层及通孔中的金属线,上述金属线被配置于相邻的金属层之间以进行垂直连接。第一金属层(最低的金属层)中的第一金属线56(如图4B所示)电性连接至多个装置元件,例如通过各自的接点连接至S/D部件36及栅极电极44,例如第一S/D接点52、第二S/D接点54,以及栅极接点50。在一些实施例中,位在IC结构20上的第一金属线56包括奇数(2n+1)个沿着X方向纵向地定向的第一金属线。在这样的情况下,如第4A、4B图所示,2n个第一金属线对称地分布在n井26及p井28上,一个第一金属线56位在n井26及p井28的共同边缘58上。The interconnect structure 46 includes metal lines distributed in multiple metal layers and vias, and the metal lines are configured between adjacent metal layers for vertical connection. The first metal line 56 in the first metal layer (the lowest metal layer) (as shown in FIG. 4B ) is electrically connected to multiple device elements, such as the S/D components 36 and the gate electrode 44 through respective contacts, such as the first S/D contact 52, the second S/D contact 54, and the gate contact 50. In some embodiments, the first metal line 56 located on the IC structure 20 includes an odd number (2n+1) of first metal lines oriented longitudinally along the X direction. In this case, as shown in FIGS. 4A and 4B , the 2n first metal lines are symmetrically distributed on the n-well 26 and the p-well 28, and one first metal line 56 is located on the common edge 58 of the n-well 26 and the p-well 28.
在可选择的实施例中,第一金属线56不均匀地分布以为栅极接点50制造更多间隔。在一些图4B示意的实施例中,第一金属线56中位在中心者与相邻的第一金属线距离间隔S1,在IC结构20中的其他第一金属线56与相邻的第一金属线距离间隔S2,间隔S2小于间隔S1。因此,栅极接点50C及第一金属线56中位在中心者具有增加的对准裕度(margin)及改善的制程窗口。在一些实施例中,间隔S1与间隔S2的比例(间隔S1/间隔S2)在1.2及1.4之间。In alternative embodiments, the first metal lines 56 are unevenly distributed to create more spacing for the gate contact 50. In some embodiments shown in FIG. 4B, the first metal line 56 located at the center is spaced S1 from the adjacent first metal line, and the other first metal lines 56 in the IC structure 20 are spaced S2 from the adjacent first metal lines, and the spacing S2 is smaller than the spacing S1. Therefore, the gate contact 50C and the first metal line 56 located at the center have an increased alignment margin and an improved process window. In some embodiments, the ratio of spacing S1 to spacing S2 (spacing S1/spacing S2) is between 1.2 and 1.4.
在一些实施例中,位在IC结构20上的第一金属线56包括偶数(2n)个沿着X方向纵向地定向的第一金属线。在此情况下,如第4C、4D图所示,2n个第一金属线对称地分布在n井26及p井28上,n井26及p井28的共同边缘58落在相邻的第一金属线之间的间隙中。In some embodiments, the first metal lines 56 on the IC structure 20 include an even number (2n) of first metal lines oriented longitudinally along the X direction. In this case, as shown in FIGS. 4C and 4D , the 2n first metal lines are symmetrically distributed on the n-well 26 and the p-well 28, and the common edge 58 of the n-well 26 and the p-well 28 falls in the gap between adjacent first metal lines.
在一些可选择的实施例中,栅极30也利用各自的自由度(freedom)来调整形状及尺寸,以增加对准窗口及接点面积(以及增加接点导电性)。如图5A所示,与栅极接点50C有关的栅极30包括分段(segment)30A,分段30A的尺寸沿着X方向增加,使得栅极接点50C能够位在调整过形状及尺寸,而具有增加的接点面积及改善的对准/制造裕度的分段30A上。栅极30沿着X方向延伸尺寸G1(第四尺寸),调整过形状的分段30A沿着X方向延伸尺寸G2(第一扩增尺寸)。在公开的实施例中,尺寸G2与尺寸G1的比例(尺寸G2/尺寸G1)在2到3之间。在其他实施例中,尺寸G2与尺寸D3的比例(尺寸G2/尺寸D3)在1.5到2之间。在一些实施例中,分段30A及栅极接点50C沿着Y方向的对应尺寸的比例具有相似的范围,例如在1.2到1.5之间。In some optional embodiments, the gate 30 also uses its own freedom to adjust the shape and size to increase the alignment window and contact area (and increase contact conductivity). As shown in FIG. 5A, the gate 30 associated with the gate contact 50C includes a segment 30A, the size of the segment 30A increases along the X direction, so that the gate contact 50C can be located on the segment 30A with an adjusted shape and size to have an increased contact area and improved alignment/manufacturing margin. The gate 30 extends along the X direction by a dimension G1 (a fourth dimension), and the shaped segment 30A extends along the X direction by a dimension G2 (a first enlarged dimension). In the disclosed embodiment, the ratio of the dimension G2 to the dimension G1 (dimension G2/dimension G1) is between 2 and 3. In other embodiments, the ratio of the dimension G2 to the dimension D3 (dimension G2/dimension D3) is between 1.5 and 2. In some embodiments, the ratio of the corresponding dimensions of the segment 30A and the gate contact 50C along the Y direction has a similar range, such as between 1.2 and 1.5.
在一些可选择的实施例中,如图5B所示,有关于栅极接点50B的栅极30包括分段30B,分段30B的尺寸沿着X方向增加,使得栅极接点50B能够位在调整过形状及尺寸,而具有增加的接点面积及改善的对准/制造裕度的分段30B上。调整过形状的分段30B沿着X方向延伸尺寸G3(第二扩增尺寸)。在公开的实施中,尺寸G3与尺寸G1的比例(尺寸G3/尺寸G1)在1.3及2之间。在其他实施中,尺寸G3与尺寸D2的比例(尺寸G3/尺寸D2)在1.2及1.5之间。详细而言,如第5B图所示,调整过形状的分段30B朝向空旷的一侧(free side)偏移,使得分段30B大致上朝向空旷的一侧突出,并且在其他侧上的边缘大致上对准栅极30的其他边缘。在一些实施例中,分段30B及栅极接点50B沿着Y方向的对应尺寸的比例具有相似的范围,例如在1.2到1.5之间。In some optional embodiments, as shown in FIG. 5B , the gate 30 with respect to the gate contact 50B includes a segment 30B whose size increases along the X direction so that the gate contact 50B can be located on the segment 30B that has been shaped and sized to have an increased contact area and improved alignment/manufacturing margin. The shaped segment 30B extends along the X direction by a dimension G3 (a second enlarged dimension). In the disclosed embodiment, the ratio of the dimension G3 to the dimension G1 (dimension G3/dimension G1) is between 1.3 and 2. In other embodiments, the ratio of the dimension G3 to the dimension D2 (dimension G3/dimension D2) is between 1.2 and 1.5. In detail, as shown in FIG. 5B , the shaped segment 30B is offset toward the free side so that the segment 30B protrudes substantially toward the free side and the edge on the other side is substantially aligned with the other edge of the gate 30. In some embodiments, the ratio of the corresponding dimensions of the segment 30B and the gate contact 50B along the Y direction has a similar range, such as between 1.2 and 1.5.
IC结构20在图6A~图6C中有更详细的描述。图6A~图6C分别是根据本新型实施例制造的IC结构20沿着图4A的虚线AA’、虚线BB’,以及虚线CC’的截面图。如上所述,多个接点分开地形成。ILD结构包括多层,每一层被图案化以分别形成接点。此外,蚀刻停止层(etchstop layer)60额外地设置在对应的ILD层48下,以达到蚀刻选择性。在此情况下,蚀刻停止层60及ILD层48具有蚀刻选择性不同的组成成份。尤其是,第一S/D接点52及第二S/D接点54形成在不同ILD层48及对应的蚀刻停止层60中。The IC structure 20 is described in more detail in FIGS. 6A to 6C . FIGS. 6A to 6C are cross-sectional views of the IC structure 20 manufactured according to the present invention along the dotted line AA', the dotted line BB', and the dotted line CC' of FIG. 4A , respectively. As described above, a plurality of contacts are formed separately. The ILD structure includes multiple layers, each of which is patterned to form contacts respectively. In addition, an etch stop layer 60 is additionally disposed under the corresponding ILD layer 48 to achieve etching selectivity. In this case, the etch stop layer 60 and the ILD layer 48 have different components with different etching selectivities. In particular, the first S/D contact 52 and the second S/D contact 54 are formed in different ILD layers 48 and the corresponding etch stop layer 60.
第一S/D接点52以如下所述的方式形成。如图6A所示,顺应地沉积第一蚀刻停止层60A,在第一蚀刻停止层60A上沉积第一层间介电(ILD)层48A。在一些实施例中,第一蚀刻停止层60A包括氮化硅或氮氧化硅,第一ILD层48A包括氧化硅、低介电常数介电材料,或其组合。应用CMP制程以平坦化上表面。之后,图案化第一ILD层48A及第一蚀刻停止层60A,以使用蚀刻制程形成第一S/D接点52的接点孔(contact hole)。蚀刻制程包括第一蚀刻制程(例如湿式蚀刻或干式蚀刻)及上述第一蚀刻制程之后的第二蚀刻制程,上述第一蚀刻制程使用的蚀刻剂选择性地蚀刻第一ILD层48A并在第一蚀刻停止层60A上停止。上述第二蚀刻制程例如为湿式蚀刻,上述第二蚀刻制程使用的蚀刻剂选择性地蚀刻第一蚀刻停止层60A。因此,上述蚀刻制程可以避免过度蚀刻第一ILD层48A而损伤基板及装置部件,例如S/D部件36。在接点孔中设置导电材料,并应用其他CMP制程于以平坦化上表面,因此形成第一S/D接点52。The first S/D contact 52 is formed in the following manner. As shown in FIG. 6A , a first etch stop layer 60A is deposited conformably, and a first interlayer dielectric (ILD) layer 48A is deposited on the first etch stop layer 60A. In some embodiments, the first etch stop layer 60A includes silicon nitride or silicon oxynitride, and the first ILD layer 48A includes silicon oxide, a low-k dielectric material, or a combination thereof. A CMP process is applied to planarize the upper surface. Thereafter, the first ILD layer 48A and the first etch stop layer 60A are patterned to form a contact hole of the first S/D contact 52 using an etching process. The etching process includes a first etching process (e.g., wet etching or dry etching) and a second etching process after the first etching process, wherein the etchant used in the first etching process selectively etches the first ILD layer 48A and stops on the first etching stop layer 60A. The second etching process is, for example, wet etching, and the etchant used in the second etching process selectively etches the first etching stop layer 60A. Therefore, the etching process described above can avoid over-etching the first ILD layer 48A and damaging the substrate and device components, such as the S/D components 36. A conductive material is disposed in the contact hole, and another CMP process is applied to planarize the upper surface, thereby forming the first S/D contact 52.
第二S/D接点54以相似方式形成,但是第二S/D接点54形成在第二层间介电(ILD)层48B及第二蚀刻停止层60B中。如图6A所示,顺应地沉积第二蚀刻停止层60B,在第二蚀刻停止层60B上沉积第二ILD层48B。在一些实施例中,第二蚀刻停止层60B包括氮化硅或氮氧化硅,第二ILD层48B包括氧化硅、低介电常数介电材料,或其组合。应用CMP制程以平坦化上表面。之后,图案化第二ILD层48B及第二蚀刻停止层60B,以使用蚀刻制程形成第二S/D接点54的接点孔。蚀刻制程包括第一蚀刻制程(例如湿式蚀刻或干式蚀刻)及上述第一蚀刻制程之后的第二蚀刻制程,上述第一蚀刻制程使用的蚀刻剂选择性地蚀刻第二ILD层48B并在第二蚀刻停止层60B上停止。上述第二蚀刻制程例如为湿式蚀刻,上述第二蚀刻制程使用的蚀刻剂选择性地蚀刻第二蚀刻停止层60B。在接点孔中设置导电材料,并应用其他CMP制程于以平坦化上表面,因此形成第二S/D接点54。The second S/D contact 54 is formed in a similar manner, but the second S/D contact 54 is formed in the second interlayer dielectric (ILD) layer 48B and the second etch stop layer 60B. As shown in FIG. 6A , the second etch stop layer 60B is deposited conformably, and the second ILD layer 48B is deposited on the second etch stop layer 60B. In some embodiments, the second etch stop layer 60B includes silicon nitride or silicon oxynitride, and the second ILD layer 48B includes silicon oxide, a low-k dielectric material, or a combination thereof. A CMP process is applied to planarize the upper surface. Thereafter, the second ILD layer 48B and the second etch stop layer 60B are patterned to form a contact hole for the second S/D contact 54 using an etching process. The etching process includes a first etching process (e.g., wet etching or dry etching) and a second etching process after the first etching process, and the etchant used in the first etching process selectively etches the second ILD layer 48B and stops on the second etch stop layer 60B. The second etching process is, for example, wet etching, and the etchant used in the second etching process selectively etches the second etching stop layer 60B. A conductive material is disposed in the contact hole, and another CMP process is applied to planarize the upper surface, thereby forming the second S/D contact 54.
IC结构20在图7中有更详细的描述,图7是根据本新型实施例制造的IC结构20沿着图4A的虚线CC’的截面图。图7与图6C相似,但是通道结构不同。每个主动区22包括垂直堆叠的多个通道38,栅极30环绕这些通道。这样的结构也被称为栅极全环(GAA)结构。在一些实施例中,堆叠的通道38的数量可以改变,例如3到10。在一些实施例中,n井26中的通道38的数量与p井28中的通道38的数量不同。The IC structure 20 is described in more detail in FIG. 7 , which is a cross-sectional view of the IC structure 20 manufactured according to the present novel embodiment along the dotted line CC' of FIG. 4A . FIG. 7 is similar to FIG. 6C , but the channel structure is different. Each active region 22 includes a plurality of channels 38 stacked vertically, and the gate 30 surrounds these channels. Such a structure is also referred to as a gate all-around (GAA) structure. In some embodiments, the number of stacked channels 38 can vary, for example, from 3 to 10. In some embodiments, the number of channels 38 in the n-well 26 is different from the number of channels 38 in the p-well 28.
图8A、图8B为根据本新型实施例制造的IC结构10的俯视图。图8A、图8B示意两个相邻的标准单元14、16(标准单元14也称为第一标准单元14,标准单元16也称为第二标准单元16),标准单元14、16与以上说明过的标准IC单元相似,例如第2A~7图中的标准IC单元21。填充单元18在此有更详细的说明。在图8A中只在n井26中示意一个主动区22并只在p井28中示意一个主动区22。然而,应该了解可以有任意合适的数量的主动区22(例如2~10个),取决于个别的标准IC单元及标准IC单元所对应的功能。同样地,在每个标准IC单元中只示意一个栅极30。然而,应该了解可以有任意合适的数量的栅极30(例如1~10个),取决于个别的标准IC单元及标准IC单元所对应的功能。栅极30大致上以栅极节距P分布。如果标准IC单元包括一个栅极,上述标准IC单元沿着X方向的尺寸为两倍的栅极节距P(2P)。如果标准IC单元包括N个栅极,上述标准IC单元沿着X方向的尺寸为N+1倍的栅极节距P((N+1)P)。填充单元18包括两个介电栅极,并且延伸尺寸Df,尺寸Df为栅极节距P,尺寸D1为N1倍的栅极节距P(N1P),尺寸D2为N2倍的栅极节距P(N2P),其中第一标准单元14包括N1个栅极30,第二标准单元16包括N2个栅极30。此外,主动区22从第一标准单元14连续地延伸至第二标准单元16。多个FET(例如p型FET(pFET)62、64,以及n型FET(nFET)66、68)在IC结构10中形成。FIG8A and FIG8B are top views of an IC structure 10 manufactured according to an embodiment of the present invention. FIG8A and FIG8B illustrate two adjacent standard cells 14 and 16 (the standard cell 14 is also referred to as the first standard cell 14 and the standard cell 16 is also referred to as the second standard cell 16), the standard cells 14 and 16 being similar to the standard IC cells described above, such as the standard IC cell 21 in FIGS. 2A to 7. The filling cell 18 is described in more detail herein. FIG8A illustrates only one active region 22 in the n-well 26 and only one active region 22 in the p-well 28. However, it should be understood that there may be any suitable number of active regions 22 (e.g., 2 to 10), depending on the individual standard IC cells and the functions corresponding to the standard IC cells. Similarly, only one gate 30 is illustrated in each standard IC cell. However, it should be understood that there may be any suitable number of gates 30 (e.g., 1 to 10), depending on the individual standard IC cells and the functions corresponding to the standard IC cells. The gates 30 are generally distributed with a gate pitch P. If the standard IC cell includes one gate, the size of the standard IC cell along the X direction is twice the gate pitch P (2P). If the standard IC cell includes N gates, the size of the standard IC cell along the X direction is N+1 times the gate pitch P ((N+1)P). The filling cell 18 includes two dielectric gates and extends a dimension Df, the dimension Df is the gate pitch P, the dimension D1 is N 1 times the gate pitch P (N 1 P), and the dimension D2 is N 2 times the gate pitch P (N 2 P), wherein the first standard cell 14 includes N 1 gates 30, and the second standard cell 16 includes N 2 gates 30. In addition, the active region 22 extends continuously from the first standard cell 14 to the second standard cell 16. A plurality of FETs (e.g., p-type FETs (pFETs) 62, 64, and n-type FETs (nFETs) 66, 68) are formed in the IC structure 10.
当第二标准单元16位在第一标准单元14旁边时,填充单元18被配置为夹设于第一标准单元14及第二标准单元16之间,填充单元18延伸尺寸Df,尺寸Df等于一个节距尺寸P。When the second standard cell 16 is located next to the first standard cell 14 , the filling cell 18 is configured to be sandwiched between the first standard cell 14 and the second standard cell 16 . The filling cell 18 extends by a dimension Df, which is equal to a pitch dimension P.
如图8A所示,每个标准单元(例如第一标准单元14及第二标准单元16)由介电栅极40决定边界。举例来说,第一标准单元14沿着X方向延伸第一尺寸D1,第二标准单元16沿着X方向延伸第二尺寸D2。在呈现的设计中,尺寸D1大于尺寸Df并且尺寸D2大于尺寸Df。8A , each standard cell (e.g., the first standard cell 14 and the second standard cell 16) is bounded by a dielectric gate 40. For example, the first standard cell 14 extends a first dimension D1 along the X direction, and the second standard cell 16 extends a second dimension D2 along the X direction. In the presented design, dimension D1 is greater than dimension Df and dimension D2 is greater than dimension Df.
每个标准单元包括至少一个被配置以形成一或多个场效晶体管的栅极30。在呈现的实施例中,每个第一标准单元14及每个第二标准单元16包括至少一个栅极30。栅极30及介电栅极40之间的距离相等。换言之,所有栅极(包括栅极30及介电栅极40)被配置在具有栅极节距P的周期性的结构中。在此,节距是相邻部件的相同位置之间的尺寸,例如中心到中心。因此,填充单元18沿着Y方向延伸一个节距的尺寸Df(等于栅极节距P)。第一标准单元14沿着X方向延伸两个节距的尺寸,或是尺寸D1等于两倍的栅极节距P。同样地,第二标准单元16沿着X方向延伸两个节距的尺寸,或是尺寸D2等于两倍的栅极节距P。Each standard cell includes at least one gate 30 configured to form one or more field effect transistors. In the embodiment presented, each first standard cell 14 and each second standard cell 16 includes at least one gate 30. The distance between the gate 30 and the dielectric gate 40 is equal. In other words, all gates (including the gate 30 and the dielectric gate 40) are arranged in a periodic structure with a gate pitch P. Here, the pitch is the dimension between the same positions of adjacent components, such as center to center. Therefore, the filling cell 18 extends along the Y direction by a dimension Df of one pitch (equal to the gate pitch P). The first standard cell 14 extends along the X direction by a dimension of two pitches, or a dimension D1 equal to twice the gate pitch P. Similarly, the second standard cell 16 extends along the X direction by a dimension of two pitches, or a dimension D2 equal to twice the gate pitch P.
尤其是,每个主动区22具有延伸通过相邻的标准单元(例如第一标准单元14及第二标准单元16)的连续结构,并且填充单元18夹设(interpose)于其间。根据本公开,当第二标准单元位在第一标准单元旁边时,上述第二标准单元由具有一个节距的尺寸(栅极节距P)的填充单元分开;并且所有栅极30及介电栅极40位在相同的连续主动区22上。In particular, each active region 22 has a continuous structure extending through adjacent standard cells (e.g., the first standard cell 14 and the second standard cell 16), and the filling cell 18 is interposed therebetween. According to the present disclosure, when the second standard cell is located next to the first standard cell, the second standard cell is separated by a filling cell having a pitch size (gate pitch P); and all gates 30 and dielectric gates 40 are located on the same continuous active region 22.
在呈现的实施例中,IC结构10包括在n井26中的第一主动区22以及在p井28中的第二主动区22。在第一标准单元14中的栅极30沿着Y方向从第一主动区22(在n井26中)连续地延伸至第二主动区22(在p井28中)。同样地,在第二标准单元16中的栅极30沿着Y方向从第一主动区22(在n井26中)连续地延伸至第二主动区22(在p井28中)。位在标准单元的边界线上的介电栅极40也沿着X方向从第一主动区22(在n井26中)连续地延伸至第二主动区22(在p井28中)。每个栅极30都位在介电栅极40旁边。因为主动区是连续的,晶体管之间的隔离由介电栅极40达成。In the embodiment shown, the IC structure 10 includes a first active region 22 in an n-well 26 and a second active region 22 in a p-well 28. The gate 30 in the first standard cell 14 extends continuously from the first active region 22 (in the n-well 26) to the second active region 22 (in the p-well 28) along the Y direction. Similarly, the gate 30 in the second standard cell 16 extends continuously from the first active region 22 (in the n-well 26) to the second active region 22 (in the p-well 28) along the Y direction. The dielectric gate 40 located on the boundary line of the standard cell also extends continuously from the first active region 22 (in the n-well 26) to the second active region 22 (in the p-well 28) along the X direction. Each gate 30 is located next to the dielectric gate 40. Because the active regions are continuous, isolation between transistors is achieved by the dielectric gate 40.
随着每个晶体管的S/D部件36及通道38形成,第一标准单元14包括在n井26中的一个p型FET(pFET)62以及在p井28中的一个n型FET(nFET)66,上述每个晶体管有关于各自的主动区及各自的标准单元;第二标准单元16包括在n井26中的一个p型FET(pFET)64以及在p井28中的一个n型FET(nFET)68。在呈现的实施例中,第一标准单元14中的pFET 62及nFET66被整合以形成功能电路方块,例如互补(complimentary)FET;第二标准单元16中的pFET64及nFET 68被整合以形成功能电路方块,例如另一个互补(complimentary)FET。With the S/D components 36 and channels 38 of each transistor formed, the first standard cell 14 includes a p-type FET (pFET) 62 in the n-well 26 and an n-type FET (nFET) 66 in the p-well 28, each of which is associated with a respective active region and a respective standard cell; the second standard cell 16 includes a p-type FET (pFET) 64 in the n-well 26 and an n-type FET (nFET) 68 in the p-well 28. In the illustrated embodiment, the pFET 62 and the nFET 66 in the first standard cell 14 are integrated to form a functional circuit block, such as a complimentary FET; the pFET 64 and the nFET 68 in the second standard cell 16 are integrated to form a functional circuit block, such as another complimentary FET.
因此,相邻的标准单元具有一个节距尺寸P的间隔,这确保了电路封装密度。主动区是连续的通过多个单元,并且晶体管由介电栅极40隔离。主动区的连续维持了常规的布局,便于制造。在一些实施例中,因为晶体管总是在介电栅极旁,减少了设计的不确定性。因为连续的主动区以及使用介电栅极隔离,在单元放置期间不会有邻接应力(abutmentconstrain)。此外,栅极30及介电栅极40均匀的区域密度(local density)使得装置效能及制程均匀性(uniformity)更好。Thus, adjacent standard cells are spaced apart by a pitch dimension P, which ensures circuit packing density. The active region is continuous through multiple cells, and the transistors are isolated by dielectric gates 40. The continuity of the active region maintains a conventional layout for ease of manufacturing. In some embodiments, because the transistors are always next to the dielectric gate, design uncertainty is reduced. Because of the continuous active region and the use of dielectric gate isolation, there is no abutment constraint during cell placement. In addition, the uniform local density of gate 30 and dielectric gate 40 results in better device performance and process uniformity.
当将标准单元放置于其他标准单元旁边时,适用以上定义的规定。一般而言,多个标准单元可以因此以级联(cascade)模式放置。在此情况下,夹设于两个相邻的标准单元之间的填充单元在两个标准单元之间延伸一个节距尺寸。第一标准单元在介电栅极的一侧上与填充单元相邻,第二标准单元在介电栅极的另一侧上与另一个填充单元相邻。When placing standard cells next to other standard cells, the provisions defined above apply. In general, multiple standard cells can therefore be placed in a cascade mode. In this case, a filler cell sandwiched between two adjacent standard cells extends a pitch dimension between the two standard cells. A first standard cell is adjacent to a filler cell on one side of the dielectric gate, and a second standard cell is adjacent to another filler cell on the other side of the dielectric gate.
在图8B中,填充单元18包括均匀地分布的三个介电栅极40,并且填充单元18沿着X方向延伸尺寸Df,尺寸Df等于两倍的栅极节距P(2P),尺寸D1等于N1倍的栅极节距P(N1P),尺寸D2等于N2倍的栅极节距P(N2P)。In FIG. 8B , the filling unit 18 includes three dielectric gates 40 evenly distributed, and the filling unit 18 extends along the X direction by a dimension Df, the dimension Df is equal to twice the gate pitch P (2P), the dimension D1 is equal to N 1 times the gate pitch P (N 1 P), and the dimension D2 is equal to N 2 times the gate pitch P (N 2 P).
本公开提供具有多个标准单元的IC结构的实施例,上述标准单元根据预先定义的规定配置。在多个以上描述的实施例中,标准单元包括分别以不同的组成成份形成的S/D接点及栅极接点。详细而言,栅极接点被分类为三个种类,每个种类具有不同的环境,不同环境中的栅极接点被设计为不同形状及不同尺寸,以优化接点面积及对准裕度。栅极更分别根据环境改善接点面积及制程窗口。S/D接点包括分开地形成的两层,并且包括不同组成成份以优化制造能力及电路效能。多个实施例中呈现多个好处。借由使用所公开的具有多个标准单元的布局,IC结构(例如逻辑电路)可以具有高封装密度、增进的电路效能,以及改善的功率效能面积成本(Power-Performance-Area-Cost(PPAC))。The present disclosure provides an embodiment of an IC structure having a plurality of standard cells, wherein the standard cells are configured according to predefined regulations. In a plurality of the above-described embodiments, the standard cells include S/D contacts and gate contacts formed with different components, respectively. In detail, the gate contacts are classified into three categories, each category having a different environment, and the gate contacts in different environments are designed to have different shapes and different sizes to optimize the contact area and alignment margin. The gate further improves the contact area and process window according to the environment. The S/D contacts include two layers formed separately, and include different components to optimize manufacturing capabilities and circuit performance. Multiple benefits are presented in multiple embodiments. By using the disclosed layout having a plurality of standard cells, an IC structure (e.g., a logic circuit) can have a high packaging density, improved circuit performance, and improved power-performance-area-cost (PPAC).
在一些实施例中,本公开有关于一种集成电路(IC)结构。上述IC结构包括:第一标准单元,上述第一标准单元整合有第一p型场效晶体管(pFET)以及第一n型场效晶体管(nFET);第一栅极、第二栅极,以及第三栅极,上述第一栅极、上述第二栅极,以及上述第三栅极沿着第一方向纵向地定向,并且配置于第一标准单元中;位在第一栅极上的第一栅极接点,上述第一栅极接点在上述第一栅极两个相对的边缘上与两个源极/漏极(S/D)接点相邻;位在第二栅极的第二栅极接点,上述第二栅极接点在上述第二栅极的一个边缘上与单一个源极/漏极接点相邻;以及位在第三栅极上的第三栅极接点,上述第三栅极接点的周围没有任何源极/漏极接点。第一栅极接点沿着与第一方向正交的第二方向延伸第一尺寸;第二栅极接点沿着第二方向延伸第二尺寸;第三栅极接点沿着第二方向延伸第三尺寸;第一尺寸小于第二尺寸,并且第二尺寸小于第三尺寸。In some embodiments, the present disclosure relates to an integrated circuit (IC) structure. The IC structure includes: a first standard cell, the first standard cell integrating a first p-type field effect transistor (pFET) and a first n-type field effect transistor (nFET); a first gate, a second gate, and a third gate, the first gate, the second gate, and the third gate are longitudinally oriented along a first direction and are arranged in the first standard cell; a first gate contact on the first gate, the first gate contact is adjacent to two source/drain (S/D) contacts on two opposite edges of the first gate; a second gate contact on the second gate, the second gate contact is adjacent to a single source/drain contact on one edge of the second gate; and a third gate contact on the third gate, the third gate contact is not surrounded by any source/drain contacts. The first gate contact extends a first dimension along a second direction orthogonal to the first direction; the second gate contact extends a second dimension along the second direction; the third gate contact extends a third dimension along the second direction; the first dimension is smaller than the second dimension, and the second dimension is smaller than the third dimension.
在一些实施例中,第二尺寸与第一尺寸的第一比例等于第三尺寸与第二尺寸的第二比例。在一些实施例中,第一比例与第二比例都在1.2到1.5之间。In some embodiments, the first ratio of the second dimension to the first dimension is equal to the second ratio of the third dimension to the second dimension. In some embodiments, the first ratio and the second ratio are both between 1.2 and 1.5.
在一些实施例中,上述IC结构更包括:与第一标准单元相邻的第二标准单元,上述第二标准单元整合有第二p型场效晶体管以及第二n型场效晶体管;以及位于第一标准单元及第二标准单元之间的第一介电栅极。In some embodiments, the IC structure further includes: a second standard cell adjacent to the first standard cell, the second standard cell integrating a second p-type field effect transistor and a second n-type field effect transistor; and a first dielectric gate located between the first standard cell and the second standard cell.
在一些实施例中,上述IC结构更包括:位于第一标准单元及第二标准单元之间的第二介电栅极;以及被配置于第一标准单元及第二标准单元之间的第一填充单元,并且上述第一填充单元在第一介电栅极与第二介电栅极之间延伸;其中第一介电栅极位在第一标准单元的边界上,第二介电栅极位在第二标准单元的边界上。In some embodiments, the IC structure further includes: a second dielectric gate located between the first standard cell and the second standard cell; and a first filling cell configured between the first standard cell and the second standard cell, and the first filling cell extends between the first dielectric gate and the second dielectric gate; wherein the first dielectric gate is located on the boundary of the first standard cell, and the second dielectric gate is located on the boundary of the second standard cell.
在一些实施例中,第一填充单元更包括第三介电栅极,上述第三介电栅极夹设于第一介电栅极与第二介电栅极之间。In some embodiments, the first filling unit further includes a third dielectric gate, and the third dielectric gate is sandwiched between the first dielectric gate and the second dielectric gate.
在一些实施例中,第一p型场效晶体管及第二p型场效晶体管形成在第一连续主动区上;第一n型场效晶体管及第二n型场效晶体管形成在第二连续主动区上;第一连续主动区及第二连续主动区沿着第二方向纵向地定向;第一介电栅极及第二介电栅极沿着第一方向纵向地定向,并且从第一连续主动区延伸至第二连续主动区。In some embodiments, a first p-type field effect transistor and a second p-type field effect transistor are formed on a first continuous active region; a first n-type field effect transistor and a second n-type field effect transistor are formed on a second continuous active region; the first continuous active region and the second continuous active region are longitudinally oriented along a second direction; the first dielectric gate and the second dielectric gate are longitudinally oriented along the first direction and extend from the first continuous active region to the second continuous active region.
在一些实施例中,第一栅极沿着第二方向延伸第四尺寸;第二栅极包括与第二栅极接点重叠的第一分段,上述第一分段沿着第二方向延伸,第一扩增尺寸大于第四尺寸。In some embodiments, the first gate extends along the second direction by a fourth dimension; the second gate includes a first segment overlapping with the second gate contact, the first segment extends along the second direction, and the first enlarged dimension is greater than the fourth dimension.
在一些实施例中,第三栅极包括与第三栅极接点重叠的第二分段,上述第二分段沿着第二方向延伸第二扩增尺寸;第二扩增尺寸大于第一扩增尺寸。In some embodiments, the third gate includes a second segment overlapping the third gate contact, and the second segment extends along the second direction by a second enlarged dimension; the second enlarged dimension is larger than the first enlarged dimension.
在一些实施例中,第一扩增与第四尺寸的比例在1.5到2之间;第二扩增尺寸与第四尺寸的比例在2到3之间。In some embodiments, the ratio of the first expansion size to the fourth size is between 1.5 and 2; the ratio of the second expansion size to the fourth size is between 2 and 3.
在一些其他的实施例中,本公开有关于一种IC结构。上述IC结构包括:第一标准单元,上述第一标准单元整合第一p型场效晶体管(pFET)以及第一n型场效晶体管(nFET),并且在第一标准单元边界上具有第一介电栅极;与第一标准单元相邻的第二标准单元,上述第二标准单元整合有第二p型场效晶体管以及第二n型场效晶体管,并且在第二标准单元边界上具有第二介电栅极;以及被配置于第一标准单元及第二标准单元之间的第一填充单元,上述第一填充单元在第一介电栅极与第二介电栅极之间延伸。第一标准单元更包括:沿着第一方向纵向地定向并且被配置于第一标准单元中的第一栅极及第二栅极;位在第一栅极上的第一栅极接点,上述第一栅极接点在上述第一栅极两个相对的边缘上与两个源极/漏极(S/D)接点相邻;以及位在第二栅极上的第二栅极接点,上述第二栅极接点在上述第二栅极的一个边缘上与单一个源极/漏极接点相邻。第一栅极接点沿着与第一方向正交的第二方向延伸第一尺寸;第二栅极接点沿着第二方向延伸第二尺寸;第一尺寸小于第二尺寸。In some other embodiments, the present disclosure is related to an IC structure. The IC structure includes: a first standard cell, the first standard cell integrating a first p-type field effect transistor (pFET) and a first n-type field effect transistor (nFET), and having a first dielectric gate on the first standard cell boundary; a second standard cell adjacent to the first standard cell, the second standard cell integrating a second p-type field effect transistor and a second n-type field effect transistor, and having a second dielectric gate on the second standard cell boundary; and a first filling cell disposed between the first standard cell and the second standard cell, the first filling cell extending between the first dielectric gate and the second dielectric gate. The first standard cell further includes: a first gate and a second gate oriented longitudinally along a first direction and disposed in the first standard cell; a first gate contact located on the first gate, the first gate contact being adjacent to two source/drain (S/D) contacts on two opposite edges of the first gate; and a second gate contact located on the second gate, the second gate contact being adjacent to a single source/drain contact on one edge of the second gate. The first gate contact extends a first dimension along a second direction orthogonal to the first direction; the second gate contact extends a second dimension along the second direction; and the first dimension is smaller than the second dimension.
在一些实施例中,第一p型场效晶体管及第二p型场效晶体管形成在第一连续主动区上;第一n型场效晶体管及第二n型场效晶体管形成在第二连续主动区上。In some embodiments, the first p-type field effect transistor and the second p-type field effect transistor are formed on the first continuous active region; the first n-type field effect transistor and the second n-type field effect transistor are formed on the second continuous active region.
在一些实施例中,第一连续主动区及第二连续主动区包括垂直地堆叠的多个通道;第一栅极及第二栅极环绕多个通道。In some embodiments, the first continuous active region and the second continuous active region include a plurality of channels stacked vertically; and the first gate and the second gate surround the plurality of channels.
在一些实施例中,上述IC结构更包括:沿着第一方向纵向地定向并且被配置于第一标准单元中的第三栅极;以及位在第三栅极上的第三栅极接点,上述第三栅极接点的周围没有任何源极/漏极接点。第三栅极接点沿着第二方向延伸第三尺寸,并且上述第三尺寸大于第二尺寸。In some embodiments, the IC structure further comprises: a third gate oriented longitudinally along the first direction and disposed in the first standard cell; and a third gate contact located on the third gate, wherein the third gate contact is free of any source/drain contacts. The third gate contact extends a third dimension along the second direction, and the third dimension is greater than the second dimension.
在一些实施例中,第二尺寸与第一尺寸的第一比例等于第三尺寸与第二尺寸的第二比例,上述第一比例与上述第二比例都在1.2到1.5之间。In some embodiments, a first ratio of the second size to the first size is equal to a second ratio of the third size to the second size, and both the first ratio and the second ratio are between 1.2 and 1.5.
在一些实施例中,第一栅极沿着第二方向延伸第四尺寸;第二栅极包括与第二栅极接点重叠的第一分段,上述第一分段沿着第二方向延伸第一扩增尺寸;第三栅极包括与第三栅极接点重叠的第二分段,上述第二分段沿着第二方向延伸第二扩增尺寸;第一扩增尺寸大于第四尺寸,第二扩增尺寸大于第一扩增尺寸。In some embodiments, the first gate extends a fourth dimension along the second direction; the second gate includes a first segment overlapping with the second gate contact, and the first segment extends a first enlarged dimension along the second direction; the third gate includes a second segment overlapping with the third gate contact, and the second segment extends a second enlarged dimension along the second direction; the first enlarged dimension is greater than the fourth dimension, and the second enlarged dimension is greater than the first enlarged dimension.
在一些实施例中,第一扩增尺寸与第四尺寸的比例在1.5到2之间;第二扩增尺寸与第四尺寸的比例在2到3之间。In some embodiments, the ratio of the first enlarged size to the fourth size is between 1.5 and 2; the ratio of the second enlarged size to the fourth size is between 2 and 3.
本公开的其他实施例有关于集成电路的制造方法。上述方法包括:在半导体基板上形成沿着第一方向纵向地定向的第一主动区及第二主动区,上述第一主动区及上述第二主动区以隔离部件分开;形成沿着第二方向纵向地在第一主动区及第二主动区上延伸的第一栅极电极及第二栅极电极,上述第二方向垂直于第一方向;形成位在第一主动区及第二主动区上的第一源极/漏极接点;以及形成份别位在第一栅极电极及第二栅极电极上的第一栅极接点及第二栅极接点;第一源极/漏极接点与第一栅极接点距离第一距离,上述第一源极/漏极接点与第二栅极接点距离第二距离,上述第一距离大于上述第二距离。第一栅极接点从第一栅极电极延伸至隔离部件,并且沿着第一方向延伸第一宽度。第二栅极接点沿着第一方向延伸第二宽度,上述第二宽度小于第一宽度。Other embodiments of the present disclosure are related to a method for manufacturing an integrated circuit. The method includes: forming a first active region and a second active region oriented longitudinally along a first direction on a semiconductor substrate, wherein the first active region and the second active region are separated by an isolation component; forming a first gate electrode and a second gate electrode extending longitudinally on the first active region and the second active region along a second direction, wherein the second direction is perpendicular to the first direction; forming a first source/drain contact located on the first active region and the second active region; and forming a first gate contact and a second gate contact located on the first gate electrode and the second gate electrode, respectively; the first source/drain contact is a first distance away from the first gate contact, and the first source/drain contact is a second distance away from the second gate contact, wherein the first distance is greater than the second distance. The first gate contact extends from the first gate electrode to the isolation component and extends a first width along the first direction. The second gate contact extends a second width along the first direction, wherein the second width is less than the first width.
在一些实施例中,上述方法更包括:在第一源极/漏极接点上形成第二源极/漏极接点,上述第二源极/漏极接点直接地覆盖隔离部件;形成第一蚀刻停止层,上述第一蚀刻停止层直接地位在第一源极/漏极接点的侧壁以及隔离部件的上表面上;以及形成第二蚀刻停止层,上述第二蚀刻停止层直接地位在第一源极/漏极接点的上表面、第一蚀刻停止层,以及第二源极/漏极接点的侧壁上。In some embodiments, the method further includes: forming a second source/drain contact on the first source/drain contact, wherein the second source/drain contact directly covers the isolation component; forming a first etch stop layer, wherein the first etch stop layer is directly located on the side wall of the first source/drain contact and the upper surface of the isolation component; and forming a second etch stop layer, wherein the second etch stop layer is directly located on the upper surface of the first source/drain contact, the first etch stop layer, and the side wall of the second source/drain contact.
在一些实施例中,第一栅极接点的形成包括在对称的位置上形成上述第一栅极接点,使得上述第一栅极接点的中心沿着第一方向对准第一栅极电极的中心;第二栅极接点的形成包括在不对称的位置上形成上述第二栅极接点,使得上述第二栅极接点的中心沿着第一方向偏离第二栅极电极的中心;第一栅极电极及第二栅极电极位在第二蚀刻停止层下。In some embodiments, the formation of a first gate contact includes forming the first gate contact at a symmetrical position so that the center of the first gate contact is aligned with the center of the first gate electrode along the first direction; the formation of a second gate contact includes forming the second gate contact at an asymmetrical position so that the center of the second gate contact deviates from the center of the second gate electrode along the first direction; the first gate electrode and the second gate electrode are located under the second etching stop layer.
以上内容概要地说明一些实施例的特征。本领域的通常知识者应该了解他们可以容易地使用本新型实施例作为基础,以设计或修改其他用以执行相同目的及/或达成以上提到的实施例的相同好处的制程及结构。本领域的通常知识者也应该了解这样的相等结构并没有离开本新型实施例的精神及范围,且本领域的通常知识者应该了解可以在此做出多个改变、取代,以及修改而不离开本新型实施例的精神及范围。The above content summarizes the features of some embodiments. Those of ordinary skill in the art should understand that they can easily use the new embodiments as a basis to design or modify other processes and structures for performing the same purpose and/or achieving the same benefits of the above-mentioned embodiments. Those of ordinary skill in the art should also understand that such equivalent structures do not depart from the spirit and scope of the new embodiments, and those of ordinary skill in the art should understand that multiple changes, substitutions, and modifications can be made here without departing from the spirit and scope of the new embodiments.
Claims (10)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202321890164.7U CN221008951U (en) | 2023-07-18 | 2023-07-18 | integrated circuit |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202321890164.7U CN221008951U (en) | 2023-07-18 | 2023-07-18 | integrated circuit |
Publications (1)
Publication Number | Publication Date |
---|---|
CN221008951U true CN221008951U (en) | 2024-05-24 |
Family
ID=91124725
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202321890164.7U Active CN221008951U (en) | 2023-07-18 | 2023-07-18 | integrated circuit |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN221008951U (en) |
-
2023
- 2023-07-18 CN CN202321890164.7U patent/CN221008951U/en active Active
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI702689B (en) | Semiconductor structure | |
US11855094B2 (en) | FinFET devices with dummy fins having multiple dielectric layers | |
TWI570785B (en) | Semiconductor device and forming method thereof and fin field effect transistor device | |
US8679925B2 (en) | Methods of manufacturing semiconductor devices and transistors | |
JP5754881B2 (en) | New layout structure to improve performance | |
CN110610937B (en) | Integrated standard cell structure | |
TW202025394A (en) | Integrated circuit | |
CN108231562B (en) | Logical cell structure and method | |
KR102776195B1 (en) | Multiple nano-layer transistor layers with different transistor architectures for improved circuit layout and performance | |
KR20200049574A (en) | Dielectric fins with different dielectric constants and sizes in different regions of a semiconductor device | |
US12166039B2 (en) | Complementary metal-oxide-semiconductor device and method of manufacturing the same | |
CN103515437A (en) | Structure and method for a field effect transistor | |
CN110875311A (en) | Integrated circuit structure | |
JP2011204745A (en) | Semiconductor device and manufacturing method of the same | |
CN115552604A (en) | Semiconductor device and method for manufacturing the same | |
TW202213625A (en) | Gate and fin trim isolation for advanced integrated circuit structure fabrication | |
US10879243B2 (en) | Semiconductor device and method for manufacturing the same | |
US20240222229A1 (en) | Back side contacts for semiconductor devices | |
CN221008951U (en) | integrated circuit | |
TWI857560B (en) | Intergrated circuit and method for fabricating intergrated circuit | |
TW202341416A (en) | Integrated circuit structures having metal gate plug landed on dielectric dummy fin | |
CN115377002A (en) | semiconductor structure | |
US20230138711A1 (en) | Integrated Standard Cell Structure | |
US20250006828A1 (en) | Device structure and method for manufacturing the same | |
US20250113562A1 (en) | Semiconductor device and method for forming the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
GR01 | Patent grant | ||
GR01 | Patent grant |