[go: up one dir, main page]

CN118782548A - Package and method of forming the same - Google Patents

Package and method of forming the same Download PDF

Info

Publication number
CN118782548A
CN118782548A CN202410747453.4A CN202410747453A CN118782548A CN 118782548 A CN118782548 A CN 118782548A CN 202410747453 A CN202410747453 A CN 202410747453A CN 118782548 A CN118782548 A CN 118782548A
Authority
CN
China
Prior art keywords
integrated circuit
circuit die
package
seal ring
interposer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410747453.4A
Other languages
Chinese (zh)
Inventor
张宏宾
谢正贤
许立翰
吴伟诚
叶德强
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/452,257 external-priority patent/US20240413101A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN118782548A publication Critical patent/CN118782548A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the groups H01L21/18 - H01L21/326 or H10D48/04 - H10D48/07 e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the groups H01L21/18 - H01L21/326 or H10D48/04 - H10D48/07 e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body (electrodes)
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body (electrodes) consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在实施例中,封装件包括:集成电路管芯,包括第一绝缘接合层和第一半导体衬底;以及中介层,包括第二绝缘接合层、第一密封环和第二半导体衬底。第二绝缘接合层以电介质对电介质接合而直接接合至第一绝缘接合层,并且其中集成电路管芯与第一密封环重叠。集成电路管芯的侧壁暴露在封装件的外侧壁处。本公开的实施例还涉及形成封装件的方法。

In an embodiment, a package includes: an integrated circuit die including a first insulating bonding layer and a first semiconductor substrate; and an interposer including a second insulating bonding layer, a first sealing ring, and a second semiconductor substrate. The second insulating bonding layer is directly bonded to the first insulating bonding layer with a dielectric-to-dielectric bond, and wherein the integrated circuit die overlaps the first sealing ring. The sidewalls of the integrated circuit die are exposed at the outer sidewalls of the package. Embodiments of the present disclosure also relate to a method of forming a package.

Description

封装件及其形成方法Package and method of forming the same

技术领域Technical Field

本公开的实施例涉及封装件及其形成方法。Embodiments of the present disclosure relate to packages and methods of forming the same.

背景技术Background Art

自集成电路(IC)的开发以来,由于各种电子组件(即,晶体管、二极管、电阻器、电容器等)的集成密度的不断改进,半导体工业已经经历了不断的快速增长。在大多数情况下,集成密度的这些改进来自于最小部件尺寸的反复减小,这允许将更多的组件集成到给定区域中。Since the development of the integrated circuit (IC), the semiconductor industry has experienced continued rapid growth due to continuous improvements in the integration density of various electronic components (i.e., transistors, diodes, resistors, capacitors, etc.) In most cases, these improvements in integration density have come from repeated reductions in minimum feature size, which allows more components to be integrated into a given area.

这些集成改进本质上基本是二维(2D)的,因为由集成组件占据的区域基本上位于半导体晶圆的表面上。集成电路的增大的密度和区域的相应减小通常已经超过了将集成电路芯片直接接合到衬底上的能力。中介层已经用于将球接触区域从芯片的球接触区域再分布至中介层的更大区域。另外,中介层已经允许包括多个芯片的三维封装件。还已经开发了其他封装件以结合三维方面。These integration improvements are essentially two-dimensional (2D) in nature, as the area occupied by the integrated components is substantially on the surface of the semiconductor wafer. The increased density of integrated circuits and the corresponding reduction in area have generally outstripped the ability to bond integrated circuit chips directly to substrates. Interposers have been used to redistribute ball contact areas from the ball contact areas of the chips to larger areas of the interposer. In addition, interposers have allowed three-dimensional packages that include multiple chips. Other packages have also been developed to incorporate three-dimensional aspects.

发明内容Summary of the invention

本公开的一些实施例提供了一种封装件,该封装件包括:集成电路管芯,包括第一绝缘接合层和第一半导体衬底;以及中介层,包括第二绝缘接合层、第一密封环和第二半导体衬底,其中,第二绝缘接合层以电介质对电介质接合而直接接合至第一绝缘接合层,并且其中,集成电路管芯与第一密封环重叠,并且其中,集成电路管芯的侧壁暴露在封装件的外侧壁处。Some embodiments of the present disclosure provide a package, comprising: an integrated circuit die, including a first insulating bonding layer and a first semiconductor substrate; and an intermediate layer, including a second insulating bonding layer, a first sealing ring, and a second semiconductor substrate, wherein the second insulating bonding layer is directly bonded to the first insulating bonding layer with dielectric-to-dielectric bonding, and wherein the integrated circuit die overlaps with the first sealing ring, and wherein side walls of the integrated circuit die are exposed at outer side walls of the package.

本公开的另一些实施例提供了一种形成封装件的方法,该方法包括:将第一集成电路管芯接合至中介层,其中,中介层包括第一密封环和第二密封环;在第一集成电路管芯周围分配密封剂;以及在分配密封剂之后,对位于第一密封环和第二密封环之间的区域中的中介层执行切单工艺以形成切单的封装件,其中,切单工艺去除第一集成电路管芯的部分、位于第一集成电路管芯的侧壁上的密封剂的第一部分、以及位于第一密封环和第二密封环之间的中介层的部分。Other embodiments of the present disclosure provide a method for forming a package, the method comprising: bonding a first integrated circuit die to an interposer, wherein the interposer comprises a first sealing ring and a second sealing ring; dispensing a sealant around the first integrated circuit die; and after dispensing the sealant, performing a singulation process on the interposer in an area between the first sealing ring and the second sealing ring to form a singulated package, wherein the singulation process removes a portion of the first integrated circuit die, a first portion of the sealant on a sidewall of the first integrated circuit die, and a portion of the interposer between the first sealing ring and the second sealing ring.

本公开的又一些实施例提供了一种方法,该方法包括:将集成电路管芯接合至中介层,其中,集成电路管芯包括第一密封环和位于第一密封环和集成电路管芯的外侧壁之间的牺牲区;在集成电路管芯周围分配密封剂;以及执行切单工艺以形成切单的封装件,其中,穿过中介层和集成电路管芯的牺牲区来执行切单工艺,并且其中,在切单工艺之后,集成电路管芯暴露在切单的封装件的外侧壁处。Still other embodiments of the present disclosure provide a method comprising: bonding an integrated circuit die to an interposer, wherein the integrated circuit die comprises a first sealing ring and a sacrificial area between the first sealing ring and an outer side wall of the integrated circuit die; dispensing a sealant around the integrated circuit die; and performing a singulation process to form a singulated package, wherein the singulation process is performed through the interposer and the sacrificial area of the integrated circuit die, and wherein, after the singulation process, the integrated circuit die is exposed at the outer side wall of the singulated package.

附图说明BRIEF DESCRIPTION OF THE DRAWINGS

当结合附图阅读时,从以下详细描述最佳理解本公开的方面。应该注意,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了讨论的清楚起见,可以任意地增大或减小各个部件的尺寸。Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various components are not drawn to scale. In fact, the dimensions of the various components may be arbitrarily increased or reduced for clarity of discussion.

图1、图2、图3、图4、图5、图6A、图6B、图7A、图7B、图7C、图7D、图8、图9、图10、图11A、图11B、图12A、图12B、图12C、图12D、图13A和图13B示出了根据各个实施例的制造半导体封装件的各个中间阶段的不同视图。Figures 1, 2, 3, 4, 5, 6A, 6B, 7A, 7B, 7C, 7D, 8, 9, 10, 11A, 11B, 12A, 12B, 12C, 12D, 13A and 13B show different views of various intermediate stages of manufacturing a semiconductor package according to various embodiments.

具体实施方式DETAILED DESCRIPTION

以下公开提供了许多用于实现本公开的不同特征的不同的实施例或示例。下面描述了组件和布置的具体示例以简化本公开。当然,这些仅是示例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成附加部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本公开可以在各个示例中重复参考数字和/或字母。该重复是用于简单和清楚的目的,并且其本身不指示讨论的实施例和/或配置之间的关系。The following disclosure provides many different embodiments or examples for realizing the different features of the present disclosure. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, in the following description, forming a first component above or on a second component may include an embodiment in which the first component and the second component are directly contacted, and may also include an embodiment in which an additional component may be formed between the first component and the second component so that the first component and the second component may not be in direct contact. In addition, the present disclosure may repeat reference numbers and/or letters in various examples. This repetition is for the purpose of simplicity and clarity, and does not itself indicate the relationship between the embodiments and/or configurations discussed.

另外,为了便于描述,本文中可以使用诸如“在…下面”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图中所示的一个元件或部件与另一个(或另一些)的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定位(旋转90度或在其他方位),并且在本文中使用的空间相对描述符可以同样地作相应地解释。Additionally, for ease of description, spatially relative terms such as "below," "beneath," "lower," "above," "upper," etc. may be used herein to describe the relationship of one element or component to another (or others) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein likewise interpreted accordingly.

根据各个实施例,通过将集成电路管芯直接接合到包含另一器件(诸如中介层)的晶圆来形成集成电路封装件,以及将模塑料分配在集成电路管芯周围作为密封剂。然后,穿过中介层和集成电路管芯进行切割来执行切单工艺。例如,沿着集成电路管芯的外围的牺牲区和中介层可以包括在器件设计中,以使得在这些牺牲区中没有形成功能电路。然后可以执行切单工艺以穿过牺牲区进行切割。在一些实施例中,中介层的牺牲区可以由一个或多个密封环限定,并且至少一个密封环设置在集成电路管芯正下方。According to various embodiments, an integrated circuit package is formed by directly bonding an integrated circuit die to a wafer containing another device, such as an interposer, and a molding compound is dispensed around the integrated circuit die as a sealant. The singulation process is then performed by cutting through the interposer and the integrated circuit die. For example, sacrificial areas and interposers along the periphery of the integrated circuit die may be included in the device design so that no functional circuits are formed in these sacrificial areas. The singulation process may then be performed to cut through the sacrificial areas. In some embodiments, the sacrificial area of the interposer may be defined by one or more sealing rings, and at least one sealing ring is disposed directly below the integrated circuit die.

以这种方式,去除了集成电路管芯的外周周围的模塑料和中介层的多余悬垂,有利地降低了所得封装件中的应力。例如,底部中介层的多余悬垂可能会在操作期间因温度条件变化而产生过度弯曲,从而使封装件的接合界面劣化。通过降低或消除底部中介层中的多余悬垂,可以缓解接合封装件中的应力(例如,降低不期望的弯曲)。已经观察到,在由实施例切单方法产生的封装件中,在高温操作条件下应力可以降低高达84%,并且在低温操作条件下应力可以降低高达97%。In this way, excess overhang of the molding compound and interposer around the periphery of the integrated circuit die is removed, advantageously reducing stress in the resulting package. For example, excess overhang of the bottom interposer may cause excessive bending due to changes in temperature conditions during operation, thereby degrading the bonding interface of the package. By reducing or eliminating excess overhang in the bottom interposer, stress in the bonded package can be relieved (e.g., reducing undesired bending). It has been observed that in packages produced by the embodiment singulation method, stress can be reduced by up to 84% under high temperature operating conditions, and stress can be reduced by up to 97% under low temperature operating conditions.

图1是包括集成电路管芯50的晶圆40的截面图。集成电路管芯50将在后续处理中被封装以形成集成电路封装件。每个集成电路管芯50可以是逻辑器件(例如,中央处理单元(CPU)、图形处理单元(GPU)、微控制器等)、存储器器件(例如,动态随机存取存储器(DRAM)管芯、静态随机存取存储器等)、电源管理器件(例如,电源管理集成电路(PMIC)管芯)、射频(RF)器件、传感器器件、微电子机械系统(MEMS)器件、信号处理器件(例如,数字信号处理(DSP)管芯)、前端器件(例如,模拟前端(AFE)管芯)等或它们的组合(例如,片上系统(SoC)管芯)。集成电路管芯50可以形成在晶圆40中,晶圆40可以包括在后续步骤中切单的不同的器件区域以形成多个集成电路管芯50。具体地,器件区域可以由划线区域48分隔开,在划线区域48中执行后续的切单工艺。集成电路管芯50的每个包括半导体衬底52、互连结构54和设置在绝缘接合层58中的接合焊盘56。FIG. 1 is a cross-sectional view of a wafer 40 including an integrated circuit die 50. The integrated circuit die 50 will be packaged in subsequent processing to form an integrated circuit package. Each integrated circuit die 50 may be a logic device (e.g., a central processing unit (CPU), a graphics processing unit (GPU), a microcontroller, etc.), a memory device (e.g., a dynamic random access memory (DRAM) die, a static random access memory, etc.), a power management device (e.g., a power management integrated circuit (PMIC) die), a radio frequency (RF) device, a sensor device, a micro-electromechanical system (MEMS) device, a signal processing device (e.g., a digital signal processing (DSP) die), a front-end device (e.g., an analog front-end (AFE) die), etc. or a combination thereof (e.g., a system on chip (SoC) die). The integrated circuit die 50 may be formed in a wafer 40, which may include different device regions that are singulated in subsequent steps to form a plurality of integrated circuit dies 50. Specifically, the device regions may be separated by a scribe line region 48, in which a subsequent singulation process is performed. Each of the integrated circuit dies 50 includes a semiconductor substrate 52 , an interconnect structure 54 , and bonding pads 56 disposed in an insulating bonding layer 58 .

半导体衬底52可以是掺杂或未掺杂的硅衬底,或者绝缘体上半导体(SOI)衬底的有源层。半导体衬底52可以包括其他半导体材料,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟和/或磷砷化镓铟;或它们的组合。也可以使用其他衬底,诸如多层衬底或梯度衬底。半导体衬底52具有有源表面(例如,面向上的表面)和非有源表面(如,面向下的表面)。器件位于半导体衬底52的有源表面处。器件可以是有源器件(例如,晶体管、二极管等)、电容器、电阻器等。非有源表面可以没有器件。The semiconductor substrate 52 may be a doped or undoped silicon substrate, or an active layer of a semiconductor on insulator (SOI) substrate. The semiconductor substrate 52 may include other semiconductor materials, such as germanium; compound semiconductors, including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; alloy semiconductors, including silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide, and/or gallium indium arsenide phosphide; or combinations thereof. Other substrates, such as multilayer substrates or gradient substrates, may also be used. The semiconductor substrate 52 has an active surface (e.g., a surface facing upward) and a non-active surface (e.g., a surface facing downward). The device is located at the active surface of the semiconductor substrate 52. The device may be an active device (e.g., a transistor, a diode, etc.), a capacitor, a resistor, etc. The non-active surface may be free of devices.

互连结构54位于半导体衬底52的有源表面上方,并且用于电连接半导体衬底52的器件,以形成一个或多个集成电路。互连结构54可以包括一个或多个介电层以及位于介电层中的相应金属化图案。用于介电层的可接受的介电材料包括氧化物,诸如氧化硅或氧化铝;氮化物,诸如氮化硅;碳化物,诸如碳化硅;等;或它们的组合,诸如氮氧化硅、碳氧化硅、碳氮化硅、碳氮氧化硅等。也可以使用其他介电材料,诸如聚合物,诸如聚苯并恶唑(PBO)、聚酰亚胺、基于苯并环丁烯(BCB)的聚合物等。金属化图案可以包括导电通孔和/或导线,以互连半导体衬底52的器件。金属化图案可以由导电材料形成,诸如金属,诸如铜、钴、铝、金、它们的组合等。可以通过镶嵌工艺形成互连结构54,诸如单镶嵌工艺、双镶嵌工艺等。互连结构54还可以包括金属焊盘54’,金属焊盘54’穿过一个或多个钝化层连接至互连结构54的最顶部金属化图案。可以在金属焊盘54’周围形成附加绝缘层(例如钝化层),以提供平坦表面,在该平坦平面上形成上面的绝缘接合层58。The interconnect structure 54 is located above the active surface of the semiconductor substrate 52 and is used to electrically connect the devices of the semiconductor substrate 52 to form one or more integrated circuits. The interconnect structure 54 may include one or more dielectric layers and corresponding metallization patterns located in the dielectric layers. Acceptable dielectric materials for the dielectric layers include oxides, such as silicon oxide or aluminum oxide; nitrides, such as silicon nitride; carbides, such as silicon carbide; etc.; or combinations thereof, such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, silicon carbon oxynitride, etc. Other dielectric materials may also be used, such as polymers, such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB)-based polymers, etc. The metallization pattern may include conductive vias and/or wires to interconnect the devices of the semiconductor substrate 52. The metallization pattern may be formed of a conductive material, such as a metal, such as copper, cobalt, aluminum, gold, combinations thereof, etc. The interconnect structure 54 may be formed by a damascene process, such as a single damascene process, a dual damascene process, etc. The interconnect structure 54 may also include a metal pad 54' that is connected through one or more passivation layers to the topmost metallization pattern of the interconnect structure 54. An additional insulating layer (e.g., a passivation layer) may be formed around the metal pad 54' to provide a flat surface on which to form the upper insulating bonding layer 58.

接合焊盘56位于集成电路管芯50的前侧处。接合焊盘56可以是进行外部连接的导电柱、焊盘等。接合焊盘56可以由诸如铜、铝等金属形成,并且可以通过例如镀等形成接合焊盘56。在一些实施例中,接合焊盘56可以通过导电通孔(有时称为接合焊盘通孔)电连接到互连结构54的导电部件(例如,金属焊盘54’)。集成电路管芯50还包括位于每个集成电路管芯50的外围处的一个或多个密封环60。每个密封环60可以设置成环绕每个集成电路管芯50的互连结构54中的功能金属化图案和相应接合焊盘56的环(例如,参见图6B)。密封环60可以包括与互连结构54中的金属化图案(金属化图案全部垂直地堆叠并且通过例如导电通孔连接在一起)和接合焊盘56处于相同层级的接合焊盘部分。如随后将更详细解释的,密封环60还可以作为牺牲区的边界,在将集成电路管芯直接接合到另一封装组件(例如,中介层)之后,该牺牲区将在切单工艺中被去除。这样一来,集成电路管芯50可以不包括位于密封环60外部的任何金属化图案和/或接合焊盘56。The bonding pad 56 is located at the front side of the integrated circuit die 50. The bonding pad 56 can be a conductive column, a pad, etc. for external connection. The bonding pad 56 can be formed of a metal such as copper, aluminum, etc., and the bonding pad 56 can be formed by, for example, plating, etc. In some embodiments, the bonding pad 56 can be electrically connected to the conductive component (e.g., metal pad 54') of the interconnect structure 54 through a conductive via (sometimes referred to as a bonding pad via). The integrated circuit die 50 also includes one or more sealing rings 60 located at the periphery of each integrated circuit die 50. Each sealing ring 60 can be arranged to surround the functional metallization pattern in the interconnect structure 54 of each integrated circuit die 50 and the ring of the corresponding bonding pad 56 (e.g., see Figure 6B). The sealing ring 60 can include a bonding pad portion at the same level as the metallization pattern in the interconnect structure 54 (the metallization pattern is all vertically stacked and connected together by, for example, conductive vias) and the bonding pad 56. As will be explained in more detail later, the seal ring 60 may also serve as a boundary for a sacrificial region that will be removed during the singulation process after the integrated circuit die is directly bonded to another packaging component (e.g., an interposer). In this way, the integrated circuit die 50 may not include any metallization patterns and/or bond pads 56 located outside the seal ring 60.

接合焊盘56可以设置在集成电路管芯50的前侧50F处的绝缘接合层58中。绝缘接合层58可以由适合于后续的电介质对电介质接合的材料制成,诸如氧化硅、氮氧化硅等。绝缘接合层58可以例如通过旋涂、层压、化学气相沉积(CVD)等沉积在互连结构上。可以利用例如镶嵌工艺在绝缘接合层中形成接合焊盘56,并且可以执行平坦化工艺(例如,化学机械抛光(CMP)等),以使得接合焊盘56和绝缘接合层58的顶表面是共面的(在工艺变化内)并且使得接合焊盘56的顶表面暴露在集成电路管芯50的前侧50F处。如将在下面更详细地描述的,集成电路管芯50的平坦化的前侧50F将直接接合至另一封装组件(诸如中介层)。The bonding pad 56 can be disposed in an insulating bonding layer 58 at the front side 50F of the integrated circuit die 50. The insulating bonding layer 58 can be made of a material suitable for subsequent dielectric-to-dielectric bonding, such as silicon oxide, silicon oxynitride, etc. The insulating bonding layer 58 can be deposited on the interconnect structure, for example, by spin coating, lamination, chemical vapor deposition (CVD), etc. The bonding pad 56 can be formed in the insulating bonding layer using, for example, a damascene process, and a planarization process (e.g., chemical mechanical polishing (CMP), etc.) can be performed so that the top surfaces of the bonding pad 56 and the insulating bonding layer 58 are coplanar (within process variations) and so that the top surface of the bonding pad 56 is exposed at the front side 50F of the integrated circuit die 50. As will be described in more detail below, the planarized front side 50F of the integrated circuit die 50 will be directly bonded to another packaging component (such as an interposer).

在一些实施例中,集成电路管芯50是包括多个半导体衬底52的堆叠器件。例如,集成电路管芯50可以是包括多个存储器管芯的存储器器件,诸如混合存储器立方体(HMC)器件、高带宽存储器(HBM)器件等。在这样的实施例中,集成电路管芯50包括通过衬底贯通孔或硅贯通孔(TSV)互连的多个半导体衬底52。每个半导体衬底52的可以(或可以不)具有单独的互连结构54。In some embodiments, the integrated circuit die 50 is a stacked device including multiple semiconductor substrates 52. For example, the integrated circuit die 50 may be a memory device including multiple memory dies, such as a hybrid memory cube (HMC) device, a high bandwidth memory (HBM) device, etc. In such an embodiment, the integrated circuit die 50 includes multiple semiconductor substrates 52 interconnected by through-substrate vias or through-silicon vias (TSVs). Each semiconductor substrate 52 may (or may not) have a separate interconnect structure 54.

图2至图4是在将集成电路管芯50从晶圆40分离的切单工艺期间的中间步骤的不同视图。从图2开始,在相邻集成电路管芯50之间的划线区域48中的绝缘接合层58中可选地形成相对较浅的凹槽62。2-4 are different views of intermediate steps during the singulation process of separating integrated circuit dies 50 from wafer 40. Beginning with FIG.

在一些实施例中,利用等离子体切割工艺在划线区域48中形成浅凹槽62。等离子体切割工艺可以包括形成图案化的掩模55,图案化的掩模55可以是通过光刻等图案化的光掩模。等离子体切割工艺蚀刻绝缘接合层58的由图案化的掩模55中的图案(例如,开口)暴露的部分。如图2所示,浅凹槽62延伸到绝缘接合层58中。在一些实施例中,浅凹槽62延伸穿过绝缘接合层58,并且可以进一步延伸到互连结构54的介电层中。然而,因为凹槽62相对较浅,所以浅凹槽62不延伸到半导体衬底52中。例如,凹槽62的底表面可以位于半导体衬底52的顶表面之上。在一些实施例中,凹槽62延伸的深度D1可以在至2.5μm的范围内。因为相对较浅的凹槽62不延伸到半导体衬底52中,所以集成电路管芯50可以通过密封剂容易地粘附至下面的组件(例如,中介层),这将在后续进行解释。在一些实施例中,等离子体切割是干法等离子体工艺,诸如使用氟基等离子体、氩基等离子体、氧基等离子体、氮基等离子体等的反应离子蚀刻(RIE)。In some embodiments, a shallow groove 62 is formed in the scribe area 48 using a plasma cutting process. The plasma cutting process may include forming a patterned mask 55, which may be a photomask patterned by photolithography or the like. The plasma cutting process etches portions of the insulating bonding layer 58 that are exposed by a pattern (e.g., an opening) in the patterned mask 55. As shown in FIG. 2 , the shallow groove 62 extends into the insulating bonding layer 58. In some embodiments, the shallow groove 62 extends through the insulating bonding layer 58 and may further extend into the dielectric layer of the interconnect structure 54. However, because the groove 62 is relatively shallow, the shallow groove 62 does not extend into the semiconductor substrate 52. For example, the bottom surface of the groove 62 may be above the top surface of the semiconductor substrate 52. In some embodiments, the depth D1 to which the groove 62 extends may be less than or equal to 100%. The relatively shallow recess 62 does not extend into the semiconductor substrate 52, so the integrated circuit die 50 can be easily adhered to the underlying components (e.g., interposer) by the sealant, which will be explained later. In some embodiments, the plasma dicing is a dry plasma process, such as reactive ion etching (RIE) using fluorine-based plasma, argon-based plasma, oxygen-based plasma, nitrogen-based plasma, etc.

在图3中,执行可选的开槽工艺,以在相邻集成电路管芯50之间的划线区域48中限定沟槽64。可以穿过凹槽62执行开槽工艺,从而使得沟槽64连接至凹槽62。沟槽64可以从凹槽62延伸到半导体衬底52中。在一些实施例中,开槽工艺可以是激光开槽工艺、另一等离子体切割工艺(例如,深等离子体切割工艺)等。沟槽64可以比凹槽62窄。3 , an optional slotting process is performed to define trenches 64 in the scribe area 48 between adjacent integrated circuit dies 50. The slotting process may be performed through the grooves 62 so that the trenches 64 are connected to the grooves 62. The trenches 64 may extend from the grooves 62 into the semiconductor substrate 52. In some embodiments, the slotting process may be a laser slotting process, another plasma cutting process (e.g., a deep plasma cutting process), etc. The trenches 64 may be narrower than the grooves 62.

在图4中,执行锯切工艺以将集成电路管芯50彼此完全分离且从晶圆40完全分离。可以穿过划线区域48中的凹槽62和沟槽64(如果存在的话)来执行锯切工艺。在一些实施例中,锯切工艺是使用锯片的机械工艺,锯片放置在凹槽62和沟槽64中以锯穿剩余的半导体衬底52。在其他实施例中,可以使用其他锯切工艺。4 , a sawing process is performed to completely separate the integrated circuit dies 50 from each other and from the wafer 40. The sawing process may be performed through the recesses 62 and the trenches 64 (if present) in the scribe area 48. In some embodiments, the sawing process is a mechanical process using a saw blade that is placed in the recesses 62 and the trenches 64 to saw through the remaining semiconductor substrate 52. In other embodiments, other sawing processes may be used.

在锯切工艺之后,每个切单的集成电路管芯50包括可选的平台62’(对应于凹槽62的位置)和可选的平台64’(对应于沟槽64的位置)。由于等离子体切割工艺和锯切工艺的差异,集成电路管芯50的不同区域的表面可以具有不同的粗糙度。例如,通过等离子体切割形成的平台62’的表面(绝缘接合层58的侧壁)可以比通过机械锯切形成的半导体衬底52的侧壁更光滑。平台62’提供了人工分层表面,该人工分层表面可以通过后续形成的模塑料(见图7B至图7C)粘附至下面的封装组件,以有力地降低接合结构中的分层缺陷。After the sawing process, each singulated integrated circuit die 50 includes an optional platform 62' (corresponding to the position of the groove 62) and an optional platform 64' (corresponding to the position of the groove 64). Due to the difference between the plasma cutting process and the sawing process, the surfaces of different areas of the integrated circuit die 50 may have different roughnesses. For example, the surface of the platform 62' formed by plasma cutting (the sidewall of the insulating bonding layer 58) may be smoother than the sidewall of the semiconductor substrate 52 formed by mechanical sawing. The platform 62' provides an artificial delamination surface that can be adhered to the underlying package component by a subsequently formed molding compound (see Figures 7B to 7C) to effectively reduce delamination defects in the bonding structure.

在切单之后,每个集成电路管芯50包括从密封环60延伸到集成电路管芯50的外侧壁的牺牲区46。牺牲区46没有任何功能电路(例如,不存在位于互连结构54中的任何金属化图案或接合焊盘56),并且牺牲区46的尺寸可以在对应于集成电路管芯50的布局文件的设计规则中定义,从而使得在牺牲区46中没有设置有源电路。这样一来,可以穿过牺牲区46执行随后的切单工艺(参见图11A至图12D)以去除牺牲区46的至少部分。在各个实施例中,牺牲区的横向尺寸L1(例如,从密封环60到集成电路管芯50的外侧壁测量的横向尺寸)可以在3μm至100μm的范围内。已经观察到,当牺牲区46的横向尺寸L1小于3μm时,没有足够的空间来执行后续的切单工艺,并且无法实现下面描述的切单工艺的各种益处。已经观察到,当牺牲区46的横向尺寸L1大于100μm时,集成电路管芯50中用于功能电路的剩余空间低得不可接受。After singulation, each integrated circuit die 50 includes a sacrificial region 46 extending from the seal ring 60 to the outer sidewall of the integrated circuit die 50. The sacrificial region 46 does not have any functional circuits (e.g., there is no metallization pattern or bonding pad 56 located in the interconnect structure 54), and the size of the sacrificial region 46 can be defined in the design rules of the layout file corresponding to the integrated circuit die 50 so that no active circuits are provided in the sacrificial region 46. In this way, a subsequent singulation process (see Figures 11A to 12D) can be performed through the sacrificial region 46 to remove at least a portion of the sacrificial region 46. In various embodiments, the lateral dimension L1 of the sacrificial region (e.g., the lateral dimension measured from the seal ring 60 to the outer sidewall of the integrated circuit die 50) can be in the range of 3μm to 100μm. It has been observed that when the lateral dimension L1 of the sacrificial region 46 is less than 3μm, there is not enough space to perform the subsequent singulation process, and the various benefits of the singulation process described below cannot be achieved. It has been observed that when the lateral dimension L1 of the sacrificial region 46 is greater than 100 μm, the remaining space for functional circuits in the integrated circuit die 50 is unacceptably low.

尽管图2至图4示出了切单集成电路管芯50的特定方法,但是应当理解,在其他实施例中可以使用其他切单工艺。例如,可以排除图2的等离子体切割工艺和/或图3的激光开槽工艺。在这样的实施例中,平台62’和/或64’同样可以排除。Although FIGS. 2-4 illustrate a particular method of singulating integrated circuit die 50, it should be understood that other singulation processes may be used in other embodiments. For example, the plasma cutting process of FIG. 2 and/or the laser slotting process of FIG. 3 may be excluded. In such embodiments, platforms 62′ and/or 64′ may also be excluded.

图5至图13B是根据一些实施例的在形成集成电路封装件的工艺期间的中间步骤的截面图。为了便于说明,可以在图5至图13B中简化集成电路管芯50的细节。图5至图13B示出了特定的封装件配置,但是应该理解,也可以使用其他封装件配置。5 to 13B are cross-sectional views of intermediate steps during a process of forming an integrated circuit package according to some embodiments. Details of the integrated circuit die 50 may be simplified in FIGS. 5 to 13B for ease of illustration. FIGS. 5 to 13B illustrate a particular package configuration, but it should be understood that other package configurations may also be used.

在图5至图10中,通过将集成电路管芯50接合至晶圆70来形成集成电路封装件100。晶圆70具有封装区域100A、100B,每个封装区域100A、100B包括形成在其中的器件,诸如中介层。在图11A至图12D中,切单封装区域100A、100B以形成集成电路封装件100,每个集成电路封装件100包括晶圆70的切单部分(例如,中介层140)和接合至晶圆70的切单部分的集成电路管芯50。在图13A至图13B中,然后将集成电路封装件100安装至封装衬底200。In FIGS. 5 to 10 , an integrated circuit package 100 is formed by bonding an integrated circuit die 50 to a wafer 70. The wafer 70 has packaging regions 100A, 100B, each of which includes a device, such as an interposer, formed therein. In FIGS. 11A to 12D , the packaging regions 100A, 100B are singulated to form integrated circuit packages 100, each of which includes a singulated portion of the wafer 70 (e.g., the interposer 140) and an integrated circuit die 50 bonded to the singulated portion of the wafer 70. In FIGS. 13A to 13B , the integrated circuit package 100 is then mounted to a packaging substrate 200.

首先参考图5,示出了晶圆70。晶圆70包括位于封装区域100A、100B中的器件,封装区域100A、100B中的器件将在后续处理中在划线区域98中被切单以包括在集成电路封装100中。划线区域98设置在封装区域100A、100B之间。在晶圆70中形成的器件可以是中介层、集成电路管芯等。晶圆70包括衬底72、互连结构74、接合焊盘76、绝缘接合层78、密封环80(包括密封环80A和80B)和导电通孔82。Referring first to FIG. 5 , a wafer 70 is shown. Wafer 70 includes devices located in packaging regions 100A, 100B, which will be singulated in scribe regions 98 in subsequent processing to be included in integrated circuit package 100. Scribe region 98 is disposed between packaging regions 100A, 100B. The devices formed in wafer 70 may be interposers, integrated circuit dies, etc. Wafer 70 includes substrate 72, interconnect structure 74, bonding pads 76, insulating bonding layer 78, sealing ring 80 (including sealing rings 80A and 80B), and conductive vias 82.

衬底72可以是体半导体衬底、绝缘体上半导体(SOI)衬底、多层半导体衬底等。衬底72可以包括半导体材料,诸如硅;锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟和/或磷砷化镓铟;或它们的组合。也可以使用其他衬底,诸如多层衬底或梯度衬底。衬底72可以是掺杂的或未掺杂的。在晶圆70中形成无源中介层的实施例中,衬底72通常不包括其中的有源器件,但是无源中介层可以包括形成在衬底72的前表面(例如,面向上的表面)中和/或上的无源器件。在晶圆70中形成有源中介层(也称为集成电路管芯)的实施例中,可以在衬底72的前表面中和/或上形成诸如晶体管、电容器、电阻器、二极管等的有源器件。Substrate 72 may be a bulk semiconductor substrate, a semiconductor on insulator (SOI) substrate, a multilayer semiconductor substrate, or the like. Substrate 72 may include semiconductor materials such as silicon; germanium; compound semiconductors including silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; alloy semiconductors including silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium arsenide, gallium indium phosphide, and/or gallium indium arsenide phosphide; or combinations thereof. Other substrates, such as multilayer substrates or gradient substrates, may also be used. Substrate 72 may be doped or undoped. In embodiments where a passive interposer is formed in wafer 70, substrate 72 typically does not include active devices therein, but the passive interposer may include passive devices formed in and/or on the front surface (e.g., the surface facing upward) of substrate 72. In embodiments where an active interposer (also referred to as an integrated circuit die) is formed in wafer 70, active devices such as transistors, capacitors, resistors, diodes, and the like may be formed in and/or on the front surface of substrate 72.

互连结构74位于衬底72的前表面上方,并且用于电连接衬底72的器件(如果有的话)。互连结构74可以包括一个或多个介电层以及位于介电层中的相应金属化图案。用于介电层的可接受的介电材料包括氧化物,诸如氧化硅或氧化铝;氮化物,诸如氮化硅;碳化物,诸如碳化硅;等;或它们的组合,诸如氮氧化硅、碳氧化硅、碳氮化硅、碳氮氧化硅等。也可以使用其他介电材料,诸如聚合物,诸如聚苯并恶唑(PBO)、聚酰亚胺、基于苯并环丁烯(BCB)的聚合物等。金属化图案可以包括导电通孔和/或导线,以将任何器件互连在一起和/或互连到外部器件。金属化图案可以由导电材料形成,诸如金属,诸如铜、钴、铝、金、它们的组合等。可以通过镶嵌工艺形成互连结构74,诸如单镶嵌工艺、双镶嵌工艺等。The interconnect structure 74 is located above the front surface of the substrate 72 and is used to electrically connect the devices (if any) of the substrate 72. The interconnect structure 74 may include one or more dielectric layers and corresponding metallization patterns located in the dielectric layers. Acceptable dielectric materials for the dielectric layers include oxides, such as silicon oxide or aluminum oxide; nitrides, such as silicon nitride; carbides, such as silicon carbide; etc.; or combinations thereof, such as silicon oxynitride, silicon oxycarbide, silicon carbonitride, silicon carbon oxynitride, etc. Other dielectric materials may also be used, such as polymers, such as polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB)-based polymers, etc. The metallization pattern may include conductive vias and/or wires to interconnect any devices together and/or to external devices. The metallization pattern may be formed of a conductive material, such as a metal, such as copper, cobalt, aluminum, gold, combinations thereof, etc. The interconnect structure 74 may be formed by a damascene process, such as a single damascene process, a dual damascene process, etc.

接合焊盘76位于晶圆70的前侧70F处。接合焊盘76可以是进行外部连接的导电柱、焊盘等。接合焊盘76可以由诸如铜、铝等的金属形成,并且可以通过例如镀等形成接合焊盘76。在一些实施例中,接合焊盘76可以通过导电通孔(有时称为接合焊盘通孔,未明确示出)电连接至互连结构74的导电部件。晶圆还包括位于每个集成电路管芯50的外围处的密封环80(包括密封环80A和80B)。每个密封环80可以设置成环绕每个封装区域100A、100B的互连结构74中的金属化图案和相应接合焊盘76的环(参见例如图6B)。密封环80可以包括与互连结构74中的金属化图案(金属化图案全部垂直地堆叠并且通过例如导电通孔连接在一起)和接合焊盘76处于相同层级的接合焊盘部分。The bonding pad 76 is located at the front side 70F of the wafer 70. The bonding pad 76 can be a conductive column, a pad, etc. for external connection. The bonding pad 76 can be formed of a metal such as copper, aluminum, etc., and the bonding pad 76 can be formed by, for example, plating, etc. In some embodiments, the bonding pad 76 can be electrically connected to the conductive part of the interconnect structure 74 through a conductive via (sometimes referred to as a bonding pad via, not explicitly shown). The wafer also includes a sealing ring 80 (including sealing rings 80A and 80B) located at the periphery of each integrated circuit die 50. Each sealing ring 80 can be arranged to surround the metallization pattern in the interconnect structure 74 of each packaging area 100A, 100B and the ring of the corresponding bonding pad 76 (see, for example, FIG. 6B). The sealing ring 80 can include a bonding pad portion at the same level as the metallization pattern in the interconnect structure 74 (the metallization pattern is all vertically stacked and connected together by, for example, conductive vias) and the bonding pad 76.

如随后将更详细地解释的,密封环80A是功能密封环,其将保留在切单的集成电路封装件100(参见图13A和图13B)中以保护焊盘76和互连结构74中的电路。密封环80B(也称为伪密封环80B或虚拟密封环80B)环绕密封环80A,并且密封环80B可以标记划线区域98中的区域,划线区域98中的该区域在将集成电路管芯接合到晶圆70之后的后续切单工艺(参见图11A至图12D)期间被去除。通过去除晶圆70的多余部分(例如,如由密封环80A和80B所标记的),所得中介层可以没有多余的悬垂,这有利地降低所得集成电路封装件中的应力。这样一来,在俯视图中,晶圆70在密封环80A的占位面积外部可以不包括任何金属化图案、接合焊盘76或导电通孔82。密封环80的尺寸和位置(以及通过切单去除的所得区域)可以在对应于晶圆70的布局文件的设计规则中定义,从而使得没有有源电路设置在密封环80A外部。As will be explained in more detail later, seal ring 80A is a functional seal ring that will remain in the singulated integrated circuit package 100 (see FIGS. 13A and 13B ) to protect the circuits in pads 76 and interconnect structures 74. Seal ring 80B (also referred to as dummy seal ring 80B or virtual seal ring 80B) surrounds seal ring 80A, and seal ring 80B can mark an area in scribe line area 98 that is removed during a subsequent singulation process (see FIGS. 11A to 12D ) after bonding the integrated circuit die to wafer 70. By removing excess portions of wafer 70 (e.g., as marked by seal rings 80A and 80B), the resulting interposer can be free of excess overhang, which advantageously reduces stress in the resulting integrated circuit package. As such, in a top view, wafer 70 can include no metallization patterns, bond pads 76, or conductive vias 82 outside the footprint of seal ring 80A. The size and location of seal ring 80 (and the resulting area removed by singulation) may be defined in design rules corresponding to a layout file for wafer 70 such that no active circuitry is disposed outside of seal ring 80A.

接合焊盘76可以设置在晶圆70的前侧70F处的绝缘接合层78中。绝缘接合层78可以由适合于后续的电介质对电介质接合的材料制成,诸如氧化硅、氮氧化硅等。绝缘接合层78可以例如通过旋涂、层压、化学气相沉积(CVD)等沉积在互连结构上。绝缘接合层78的材料可以与绝缘接合层58相同或不同。例如,在特定实施例中,绝缘接合层58/78中的一个由氧化硅制成,并且绝缘接合层58/78中的另一个由氮氧化硅制成。其他组合也是可能的。可以利用例如镶嵌工艺在绝缘接合层78中形成接合焊盘76,并且可以执行平坦化工艺(例如,化学机械抛光(CMP)等),以使得接合焊盘76和绝缘接合层78的顶表面是共面的(在工艺变化内)并且暴露在晶圆70的前侧70F处。The bonding pad 76 can be arranged in the insulating bonding layer 78 at the front side 70F of the wafer 70. The insulating bonding layer 78 can be made of a material suitable for subsequent dielectric-to-dielectric bonding, such as silicon oxide, silicon oxynitride, etc. The insulating bonding layer 78 can be deposited on the interconnect structure, for example, by spin coating, lamination, chemical vapor deposition (CVD), etc. The material of the insulating bonding layer 78 can be the same or different from the insulating bonding layer 58. For example, in a specific embodiment, one of the insulating bonding layers 58/78 is made of silicon oxide, and the other of the insulating bonding layers 58/78 is made of silicon oxynitride. Other combinations are also possible. The bonding pad 76 can be formed in the insulating bonding layer 78 using, for example, a damascene process, and a planarization process (e.g., chemical mechanical polishing (CMP), etc.) can be performed so that the top surfaces of the bonding pad 76 and the insulating bonding layer 78 are coplanar (within process variations) and exposed at the front side 70F of the wafer 70.

导电通孔82延伸到衬底72和/或互连结构74中。导电通孔82电耦接至互连结构74的金属化图案。导电通孔82有时也称为TSV。作为形成导电通孔82的示例,可以通过例如蚀刻、铣削、激光技术、它们的组合等在互连结构74和/或衬底72中形成凹槽。诸如通过使用氧化技术,可以在凹槽中形成薄介电材料。可以通过诸如CVD、原子层沉积(ALD)、物理气相沉积(PVD)、热氧化、它们的组合等,在开口中共形地沉积薄阻挡层。阻挡层可以由氧化物、氮化物、碳化物、它们的组合等形成。可以在阻挡层上方和开口中沉积导电材料。可以通过电化学镀工艺、CVD、ALD、PVD、它们的组合等形成导电材料。导电材料的示例为铜、钨、铝、银、金、它们的组合等。通过例如CMP从互连结构74或衬底72的表面去除过量的导电材料和阻挡层。阻挡层和导电材料的剩余部分形成导电通孔82。Conductive via 82 extends into substrate 72 and/or interconnect structure 74. Conductive via 82 is electrically coupled to the metallization pattern of interconnect structure 74. Conductive via 82 is sometimes also referred to as TSV. As an example of forming conductive via 82, a groove can be formed in interconnect structure 74 and/or substrate 72 by, for example, etching, milling, laser technology, combinations thereof, etc. A thin dielectric material can be formed in the groove, such as by using an oxidation technique. A thin barrier layer can be conformally deposited in the opening by, for example, CVD, atomic layer deposition (ALD), physical vapor deposition (PVD), thermal oxidation, combinations thereof, etc. The barrier layer can be formed of oxides, nitrides, carbides, combinations thereof, etc. A conductive material can be deposited over the barrier layer and in the opening. The conductive material can be formed by an electrochemical plating process, CVD, ALD, PVD, combinations thereof, etc. Examples of conductive materials are copper, tungsten, aluminum, silver, gold, combinations thereof, etc. Excess conductive material and barrier layer are removed from the surface of interconnect structure 74 or substrate 72 by, for example, CMP. The remaining portions of the barrier layer and the conductive material form conductive vias 82 .

在图6A和图6B中,将集成电路管芯50接合至晶圆70。图6A示出了截面图,并且图6B示出了单个封装区域(例如,封装区域100A或100B)的俯视图。图6A的截面图是沿着图6B的线Y-Y’截取的。在该实施例中,集成电路管芯50包括放置在封装区域100A、100B的每个中的多个集成电路管芯50A、50B。集成电路管芯50A、50B的每个可以具有单个功能(例如,逻辑器件、存储器器件等),或者可以具有多个功能(例如,SoC)。虽然在每个封装区域100A、100B中示出了两个集成电路管芯50,但是任何数量的集成电路管芯50可以接合在每个封装区域100A、100B中。在另一实施例中,单个集成电路管芯50接合在每个封装区域100A、100B中。每个封装区域100A、100B中的集成电路管芯50可以是相同的尺寸(具有相同的占用面积和高度),或者它们可以是不同的尺寸(具有不同的占用面积和/或高度)。In FIGS. 6A and 6B , an integrated circuit die 50 is bonded to a wafer 70. FIG. 6A shows a cross-sectional view, and FIG. 6B shows a top view of a single package area (e.g., package area 100A or 100B). The cross-sectional view of FIG. 6A is taken along line Y-Y' of FIG. 6B. In this embodiment, the integrated circuit die 50 includes a plurality of integrated circuit dies 50A, 50B placed in each of the package areas 100A, 100B. Each of the integrated circuit dies 50A, 50B may have a single function (e.g., a logic device, a memory device, etc.), or may have multiple functions (e.g., SoC). Although two integrated circuit dies 50 are shown in each package area 100A, 100B, any number of integrated circuit dies 50 may be bonded in each package area 100A, 100B. In another embodiment, a single integrated circuit die 50 is bonded in each package area 100A, 100B. The integrated circuit dies 50 in each package region 100A, 100B may be the same size (having the same footprint and height), or they may be different sizes (having different footprints and/or heights).

集成电路管芯50和晶圆70通过电介质对电介质接合和金属对金属接合工艺(有时称为混合接合)以面对面的方式直接接合,以使得集成电路管芯50的前侧50F接合至晶圆70的前侧70F。具体地,集成电路管芯50的绝缘接合层58通过电介质对电介质接合而接合至晶圆70的绝缘接合层78,而不使用任何粘合材料(例如,管芯附接膜),并且集成电路管芯50的接合焊盘56通过金属对金属接合而接合至晶圆70的接合焊盘76,而不使用任何共晶材料(例如,焊料)。接合可以包括预接合和退火。在预接合期间,施加小的压力以将集成电路管芯50压靠在晶圆70上。预接合在低温下执行,诸如室温下,诸如在约15℃至约30℃的范围内的温度下。然后在后续的退火步骤中改进绝缘接合层58、78的接合强度,在后续的退火步骤中,在高温下对绝缘接合层58、78进行退火,诸如在约100℃至约450℃的范围内的温度下。在退火之后,形成接合绝缘接合层58、78的键,诸如共价键。接合焊盘56、76以一对一的对应关系彼此连接。接合焊盘56、76可以在预接合之后物理接触,或者可以在退火期间膨胀以进行物理接触。另外,在退火期间,接合焊盘56、76的材料(例如,铜)混合,从而使得还形成金属对金属接合。因此,在集成电路管芯50和晶圆70之间所得的接合是混合接合,该混合接合包括电介质对电介质接合和金属对金属接合两者。The integrated circuit die 50 and the wafer 70 are directly bonded in a face-to-face manner by dielectric-to-dielectric bonding and metal-to-metal bonding processes (sometimes referred to as hybrid bonding) so that the front side 50F of the integrated circuit die 50 is bonded to the front side 70F of the wafer 70. Specifically, the insulating bonding layer 58 of the integrated circuit die 50 is bonded to the insulating bonding layer 78 of the wafer 70 by dielectric-to-dielectric bonding without using any adhesive material (e.g., die attach film), and the bonding pad 56 of the integrated circuit die 50 is bonded to the bonding pad 76 of the wafer 70 by metal-to-metal bonding without using any eutectic material (e.g., solder). The bonding may include pre-bonding and annealing. During pre-bonding, a small pressure is applied to press the integrated circuit die 50 against the wafer 70. The pre-bonding is performed at a low temperature, such as room temperature, such as at a temperature in the range of about 15°C to about 30°C. The bonding strength of the insulating bonding layers 58, 78 is then improved in a subsequent annealing step, in which the insulating bonding layers 58, 78 are annealed at a high temperature, such as at a temperature in the range of about 100°C to about 450°C. After annealing, a bond, such as a covalent bond, is formed to bond the insulating bonding layers 58, 78. The bonding pads 56, 76 are connected to each other in a one-to-one correspondence. The bonding pads 56, 76 may be in physical contact after pre-bonding, or may expand during annealing to make physical contact. In addition, during annealing, the materials (e.g., copper) of the bonding pads 56, 76 are mixed, so that a metal-to-metal bond is also formed. Therefore, the resulting bond between the integrated circuit die 50 and the wafer 70 is a hybrid bond, which includes both a dielectric-to-dielectric bond and a metal-to-metal bond.

在集成电路管芯50包括平台62’的所示实施例中,可以在绝缘接合层58、78之间的集成电路管芯50的外围区域中设置间隙。例如,绝缘接合层58的侧壁可以横向偏离半导体衬底52的外侧壁,这在接合结构中产生间隙。绝缘接合层58、78可以在集成电路管芯50的外围处保持不接触且不接合。这些间隙允许后续形成的密封剂填充在绝缘接合层58、78之间,以改进粘附性,降低应力,并且降低分层缺陷。在省略了平台62’(参见图7D和图13B)的其他实施例中,同样可以省略间隙,并且绝缘接合层58、78可以在集成电路管芯50的外围处物理接触。In the illustrated embodiment where the integrated circuit die 50 includes a platform 62', a gap can be set in the peripheral area of the integrated circuit die 50 between the insulating bonding layers 58, 78. For example, the sidewalls of the insulating bonding layer 58 can be laterally offset from the outer sidewalls of the semiconductor substrate 52, which creates a gap in the bonding structure. The insulating bonding layers 58, 78 can remain non-contacting and non-bonded at the periphery of the integrated circuit die 50. These gaps allow the subsequently formed sealant to be filled between the insulating bonding layers 58, 78 to improve adhesion, reduce stress, and reduce delamination defects. In other embodiments where the platform 62' is omitted (see Figures 7D and 13B), the gap can also be omitted, and the insulating bonding layers 58, 78 can be in physical contact at the periphery of the integrated circuit die 50.

如图所示,密封环80A可以设置在每个封装区域100A、100B中的集成电路管芯50正下方并且与集成电路管芯50重叠。例如,在图6B提供的俯视图中,每个密封环80A可以设置在牺牲区46的占位面积内,并且密封环80A可以与每个集成电路管芯50A、50B重叠。在一些实施例中,在俯视图中,从密封环60到密封环80A测量的横向距离L2可以是0或更大。当横向距离L2等于0时,密封环80A可以与密封环60至少部分地重叠(参见例如图7C的实施例)。尽管图6B示出了密封环80A与集成电路管芯50A的密封环60之间的横向距离L2等于密封环80A与集成电路管芯50B的密封环60之间的横向距离L2,但是在其他实施例中,密封环80A与集成电路管芯50A的密封环60之间的横向距离可以不同于密封环80A与集成电路管芯50B的密封环60之间的横向距离。As shown, the seal ring 80A can be disposed directly below the integrated circuit die 50 in each packaging area 100A, 100B and overlap the integrated circuit die 50. For example, in the top view provided in FIG. 6B, each seal ring 80A can be disposed within the footprint of the sacrificial area 46, and the seal ring 80A can overlap each integrated circuit die 50A, 50B. In some embodiments, in the top view, the lateral distance L2 measured from the seal ring 60 to the seal ring 80A can be 0 or greater. When the lateral distance L2 is equal to 0, the seal ring 80A can at least partially overlap the seal ring 60 (see, for example, the embodiment of FIG. 7C). Although FIG. 6B shows that the lateral distance L2 between the sealing ring 80A and the sealing ring 60 of the integrated circuit die 50A is equal to the lateral distance L2 between the sealing ring 80A and the sealing ring 60 of the integrated circuit die 50B, in other embodiments, the lateral distance between the sealing ring 80A and the sealing ring 60 of the integrated circuit die 50A may be different from the lateral distance between the sealing ring 80A and the sealing ring 60 of the integrated circuit die 50B.

此外,密封环80B可以设置在集成电路管芯50的占位面积外部。例如,在俯视图中,密封环80B可以环绕封装区域100A、100B中的密封环80A和所有集成电路管芯50。在后续工艺中,可以穿过集成电路管芯50的牺牲区46在密封环80A和80B之间执行切单工艺,并去除密封环80B。以此方式,可以降低所得中介层的多余悬垂,这降低所得封装件中的应力。In addition, the seal ring 80B can be disposed outside the footprint of the integrated circuit die 50. For example, in a top view, the seal ring 80B can surround the seal ring 80A and all integrated circuit dies 50 in the package regions 100A, 100B. In a subsequent process, a singulation process can be performed between the seal rings 80A and 80B through the sacrificial region 46 of the integrated circuit die 50, and the seal ring 80B can be removed. In this way, the excess overhang of the resulting interposer can be reduced, which reduces stress in the resulting package.

在图7A至图7D中,在各种组件上形成密封剂110。密封剂110由模制材料或模塑料形成。模制材料包括聚合物材料,并且可选择地包括填料(例如,填料110’,参见图7B至图7D)。聚合物材料可以是环氧树脂等。填料由为密封剂110提供机械强度和热分散的材料形成,诸如二氧化硅(SiO2)的颗粒。模制材料(包括聚合物材料和/或填料)可以通过压缩模制、传递模制等形成。密封剂110可以形成在晶圆70的前侧70F上方,以使得集成电路管芯50被掩埋或覆盖。然后使密封剂110固化。可以执行平坦化工艺以平坦化密封剂110和集成电路管芯50的顶表面。平坦化工艺可以是CMP、回蚀刻、它们的组合等。在所示实施例中,通过密封剂110的平坦化来暴露集成电路管芯50,以使得集成电路管芯50和密封剂110的顶表面在平坦化之后是基本上齐平的(在工艺变化内)。平坦化可以去除半导体衬底52的部分。密封剂110围绕并且保护集成电路管芯50。In FIGS. 7A to 7D , a sealant 110 is formed on various components. The sealant 110 is formed of a molding material or a molding compound. The molding material includes a polymer material and optionally includes a filler (e.g., filler 110 ', see FIGS. 7B to 7D ). The polymer material may be an epoxy resin or the like. The filler is formed of a material that provides mechanical strength and thermal dispersion to the sealant 110, such as particles of silicon dioxide (SiO 2 ). The molding material (including the polymer material and/or the filler) may be formed by compression molding, transfer molding, or the like. The sealant 110 may be formed over the front side 70F of the wafer 70 so that the integrated circuit die 50 is buried or covered. The sealant 110 is then cured. A planarization process may be performed to planarize the top surface of the sealant 110 and the integrated circuit die 50. The planarization process may be CMP, etch back, a combination thereof, or the like. In the illustrated embodiment, the integrated circuit die 50 is exposed by planarization of the encapsulant 110 so that the top surfaces of the integrated circuit die 50 and the encapsulant 110 are substantially flush after planarization (within process variations). Planarization may remove portions of the semiconductor substrate 52. The encapsulant 110 surrounds and protects the integrated circuit die 50.

图7B至图7D示出了根据各个实施例的图7A的区域100’的详细截面图。在图7B至图7D的每个实施例中,集成电路管芯50与密封环80A重叠,并且密封环80B设置在被集成电路管芯50重叠的区域的外部。图7B和图7C示出了平台62’包括在集成电路管芯50中的实施例。具体地,图7B示出了密封环80A横向偏离密封环60的实施例,并且图7C示出了密封环60与密封环80A重叠并对齐的实施例。在图7B和图7C的实施例中,密封剂110沿着垂直于衬底52、72的主表面的线X-X’在平台62’中的绝缘接合层58、78之间延伸。以这种方式,密封剂110可以用作粘合剂以提高绝缘接合层58、78之间的粘附性并降低分层缺陷。密封剂110可以从绝缘接合层58的侧壁横向延伸到半导体衬底52的外侧壁。在一些实施例中,密封剂110的填充物110’也可以沿着线X-X’设置在绝缘接合层58、78之间。此外,由于平台62’的尺寸相对较小,因此作为将密封剂110分配到平台62’中的填充工艺的部分,可以沿着线X-X’在绝缘接合层58、78之间的密封剂110中形成空隙112(例如,内部接缝和/或气隙)。具体到图7B的实施例,密封环80A可以与绝缘接合层58、78之间的密封剂110的部分和平台62’重叠。7B to 7D illustrate detailed cross-sectional views of region 100' of FIG. 7A according to various embodiments. In each embodiment of FIG. 7B to 7D, the integrated circuit die 50 overlaps the seal ring 80A, and the seal ring 80B is disposed outside the region overlapped by the integrated circuit die 50. FIG. 7B and FIG. 7C illustrate an embodiment in which the platform 62' is included in the integrated circuit die 50. Specifically, FIG. 7B illustrates an embodiment in which the seal ring 80A is laterally offset from the seal ring 60, and FIG. 7C illustrates an embodiment in which the seal ring 60 overlaps and is aligned with the seal ring 80A. In the embodiments of FIG. 7B and FIG. 7C, the sealant 110 extends between the insulating bonding layers 58, 78 in the platform 62' along a line X-X' perpendicular to the main surface of the substrate 52, 72. In this way, the sealant 110 can be used as an adhesive to improve the adhesion between the insulating bonding layers 58, 78 and reduce delamination defects. The sealant 110 can extend laterally from the sidewalls of the insulating bonding layer 58 to the outer sidewalls of the semiconductor substrate 52. In some embodiments, a filler 110′ of sealant 110 may also be disposed between insulating bonding layers 58, 78 along line X-X′. Additionally, because the size of mesa 62′ is relatively small, voids 112 (e.g., internal seams and/or air gaps) may be formed in sealant 110 between insulating bonding layers 58, 78 along line X-X′ as part of the fill process of dispensing sealant 110 into mesa 62′. Specific to the embodiment of FIG. 7B , seal ring 80A may overlap portions of sealant 110 between insulating bonding layers 58, 78 and mesa 62′.

在图7B和图7C的实施例中,绝缘接合层58、78之间的密封剂110的尺寸对应于平台62’的尺寸。例如,绝缘接合层58、78之间的密封剂110的厚度T1可以等于凹槽62/平台62’的深度D1(见图2),并且厚度T1可以在至2.5μm的范围内。另外,绝缘接合层58、78之间的密封剂110的横向尺寸L3可以在1μm至150μm的范围内。已经观察到,当绝缘接合层58、78之间的密封剂110的尺寸在上述范围内时,可以改进集成电路管芯50和晶圆70之间的粘附性,并且可以降低应力累积和分层缺陷。相应地,可以实现具有降低的缺陷、改进的可靠性和改进的良率的半导体封装件。In the embodiments of FIGS. 7B and 7C , the size of the sealant 110 between the insulating bonding layers 58 and 78 corresponds to the size of the platform 62 ′. For example, the thickness T1 of the sealant 110 between the insulating bonding layers 58 and 78 may be equal to the depth D1 of the groove 62/platform 62 ′ (see FIG. 2 ), and the thickness T1 may be In the embodiment of the present invention, the size of the sealant 110 between the insulating bonding layers 58 and 78 can be within the range of 1 μm to 2.5 μm. In addition, the lateral dimension L3 of the sealant 110 between the insulating bonding layers 58 and 78 can be within the range of 1 μm to 150 μm. It has been observed that when the size of the sealant 110 between the insulating bonding layers 58 and 78 is within the above range, the adhesion between the integrated circuit die 50 and the wafer 70 can be improved, and stress accumulation and delamination defects can be reduced. Accordingly, a semiconductor package with reduced defects, improved reliability and improved yield can be achieved.

在一些实施例中,如图7D所示,平台62’是可选的并且可以省略平台62’。在这样的实施例中,密封剂110可以不沿着线X-X’在绝缘接合层58、78之间延伸。例如,在集成电路管芯50的外周处,绝缘层58可以与绝缘层78物理接触,而没有任何介入的密封剂110。尽管图7D示出了密封环80A横向偏离密封环60的实施例,但是密封环80A也可以以与图7C的实施例类似的方式与密封环60重叠,但没有平台62’。In some embodiments, as shown in FIG. 7D , platform 62′ is optional and platform 62′ may be omitted. In such embodiments, encapsulant 110 may not extend between insulating bonding layers 58, 78 along line X-X′. For example, at the periphery of integrated circuit die 50, insulating layer 58 may be in physical contact with insulating layer 78 without any intervening encapsulant 110. Although FIG. 7D shows an embodiment in which seal ring 80A is laterally offset from seal ring 60, seal ring 80A may also overlap seal ring 60 in a manner similar to the embodiment of FIG. 7C , but without platform 62′.

应当理解,图7B至图7D的每个实施例可以在单个集成电路封装件中实施。例如,将多个集成电路管芯50接合到每个封装区域100A、100B中的晶圆70。相应地,第一集成电路管芯50(例如,管芯50A)可以具有根据图7B至图7D的实施例中的任一个的第一配置,而第二集成电路管芯50(例如,管芯50B)可以具有根据图7B至图7D的实施例中的任一个的第二配置。第二集成电路管芯(例如,管芯50B)的第二配置可以与第一集成电路管芯50(例如,管芯50A)的第一配置相同或不同。It should be understood that each of the embodiments of Figures 7B to 7D can be implemented in a single integrated circuit package. For example, multiple integrated circuit dies 50 are bonded to the wafer 70 in each packaging area 100A, 100B. Accordingly, the first integrated circuit die 50 (e.g., die 50A) can have a first configuration according to any of the embodiments of Figures 7B to 7D, and the second integrated circuit die 50 (e.g., die 50B) can have a second configuration according to any of the embodiments of Figures 7B to 7D. The second configuration of the second integrated circuit die (e.g., die 50B) can be the same or different from the first configuration of the first integrated circuit die 50 (e.g., die 50A).

在图8中,将中间结构翻转(未示出),以准备处理衬底72的背侧70B。中间结构可以放置在载体衬底114或其他合适的支撑结构上,用于后续处理。例如,载体衬底114可以通过释放层附接至密封剂110和集成电路管芯50。释放层可以由基于聚合物的材料形成,在处理之后,可以将释放层与载体衬底114一起从结构去除。在一些实施例中,载体衬底114是诸如体半导体或玻璃衬底的衬底。在一些实施例中,释放层是基于环氧树脂的热释放材料,它在加热时失去其粘合性能,诸如光热转换(LTHC)释放涂层。In FIG8 , the intermediate structure is flipped over (not shown) to prepare for processing the back side 70B of the substrate 72. The intermediate structure can be placed on a carrier substrate 114 or other suitable support structure for subsequent processing. For example, the carrier substrate 114 can be attached to the encapsulant 110 and the integrated circuit die 50 by a release layer. The release layer can be formed of a polymer-based material, and after processing, the release layer can be removed from the structure together with the carrier substrate 114. In some embodiments, the carrier substrate 114 is a substrate such as a bulk semiconductor or a glass substrate. In some embodiments, the release layer is an epoxy-based thermal release material that loses its adhesive properties when heated, such as a light-to-heat conversion (LTHC) release coating.

在图9中,减薄衬底72以暴露导电通孔82。导电通孔82的暴露可以通过减薄工艺来完成,诸如研磨工艺、CMP、回蚀刻、它们的组合等。然后,在衬底72的背侧70B上、导电通孔82上方形成绝缘层116。在一些实施例中,绝缘层116由含硅绝缘体形成,诸如氮化硅、氧化硅、氮氧化硅等,并且可以通过合适的沉积方法来形成绝缘层116,诸如通过旋涂、CVD、等离子体增强CVD(PECVD)、高密度等离子体CVD(HDP-CVD)等。9, the substrate 72 is thinned to expose the conductive via 82. The exposure of the conductive via 82 can be accomplished by a thinning process, such as a grinding process, CMP, etch back, a combination thereof, etc. Then, an insulating layer 116 is formed on the back side 70B of the substrate 72, over the conductive via 82. In some embodiments, the insulating layer 116 is formed of a silicon-containing insulator, such as silicon nitride, silicon oxide, silicon oxynitride, etc., and the insulating layer 116 can be formed by a suitable deposition method, such as by spin coating, CVD, plasma enhanced CVD (PECVD), high density plasma CVD (HDP-CVD), etc.

在图10中,在绝缘层116上形成凸块下金属(UBM)132并且凸块下金属(UBM)132延伸穿过绝缘层116。UBM 132可以电连接至导电通孔82。作为形成UBM 132的示例,可以在绝缘层116中图案化开口以暴露导电通孔82。在一些实施例中,可以通过光刻和蚀刻的组合来实现图案化开口。在其他实施例中,可以通过例如激光钻孔来实现绝缘层116中的开口。在开口中(诸如绝缘层116和导电通孔82的暴露表面上方)形成晶种层(未示出)。在一些实施例中,晶种层是金属层,金属层可以是单层或是包括由不同材料形成的多个子层的复合层。在一些实施例中,晶种层包括钛层和位于钛层上方的铜层。可以使用例如PVD等来形成晶种层。然后在晶种层上形成光刻胶并且图案化光刻胶。可以通过旋涂等形成光刻胶,并且可以将光刻胶暴露于光以用于图案化。光刻胶的图案对应于UBM 132。图案化形成穿过光刻胶的开口以暴露晶种层。然后在光刻胶的开口中和晶种层的暴露部分上形成导电材料。可以通过镀(诸如电镀或化学镀等)形成导电材料。导电材料可以包括金属,诸如铜、钛、钨、铝等。然后,去除光刻胶和其上未形成导电材料的晶种层的部分。可以通过可接受的灰化或剥离工艺去除光刻胶,诸如使用氧等离子体等。一旦去除光刻胶,就去除晶种层的暴露部分,诸如通过使用可接受的蚀刻工艺。导电材料和晶种层的剩余部分形成UBM 132。In FIG. 10 , an under bump metal (UBM) 132 is formed on the insulating layer 116 and extends through the insulating layer 116. The UBM 132 can be electrically connected to the conductive via 82. As an example of forming the UBM 132, an opening can be patterned in the insulating layer 116 to expose the conductive via 82. In some embodiments, the patterned opening can be achieved by a combination of photolithography and etching. In other embodiments, the opening in the insulating layer 116 can be achieved by, for example, laser drilling. A seed layer (not shown) is formed in the opening (such as above the exposed surface of the insulating layer 116 and the conductive via 82). In some embodiments, the seed layer is a metal layer, which can be a single layer or a composite layer including multiple sublayers formed by different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer located above the titanium layer. The seed layer can be formed using, for example, PVD. Then a photoresist is formed on the seed layer and the photoresist is patterned. The photoresist can be formed by spin coating, etc., and the photoresist can be exposed to light for patterning. The pattern of the photoresist corresponds to the UBM 132. Patterning forms an opening through the photoresist to expose the seed layer. Then, a conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material can be formed by plating (such as electroplating or chemical plating). The conductive material can include metals such as copper, titanium, tungsten, aluminum, etc. Then, the photoresist and the portion of the seed layer on which the conductive material is not formed are removed. The photoresist can be removed by an acceptable ashing or stripping process, such as using oxygen plasma, etc. Once the photoresist is removed, the exposed portion of the seed layer is removed, such as by using an acceptable etching process. The remaining portion of the conductive material and the seed layer forms the UBM 132.

另外,在UBM 132上形成导电连接件136。导电连接件136可以是球栅阵列(BGA)连接件、焊球、金属柱、可控塌陷芯片连接(C4)凸块、微凸块、化学镀镍-化学镀钯浸金技术(ENEPIG)形成的凸块等。导电连接件136可以包括导电材料,诸如焊料、铜、铝、金、镍、银、钯、锡等或它们的组合。在一些实施例中,通过最初通过蒸发、电镀、印刷、焊料转移、球放置等形成焊料层来形成导电连接件136。一旦在结构上形成焊料层,就可以执行回流,以便将材料成形为期望的凸块形状。在另一实施例中,导电连接件136包括通过溅射、印刷、电镀、化学镀、CVD等形成的金属柱(诸如铜柱)。金属柱可以是无焊料的并且具有基本上垂直的侧壁。在一些实施例中,在金属柱的顶部上形成金属帽层。金属帽层可以包括镍、锡、锡-铅、金、银、钯、铟、镍-钯-金、镍-金等或它们的组合,并且可以通过镀工艺形成金属帽层。In addition, a conductive connector 136 is formed on the UBM 132. The conductive connector 136 may be a ball grid array (BGA) connector, a solder ball, a metal column, a controlled collapse chip connection (C4) bump, a microbump, a bump formed by chemical nickel plating-chemical palladium immersion gold technology (ENEPIG), etc. The conductive connector 136 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, etc., or a combination thereof. In some embodiments, the conductive connector 136 is formed by initially forming a solder layer by evaporation, electroplating, printing, solder transfer, ball placement, etc. Once the solder layer is formed on the structure, reflow may be performed so that the material is formed into a desired bump shape. In another embodiment, the conductive connector 136 includes a metal column (such as a copper column) formed by sputtering, printing, electroplating, chemical plating, CVD, etc. The metal column may be solder-free and have substantially vertical sidewalls. In some embodiments, a metal cap layer is formed on the top of the metal column. The metal cap layer may include nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, etc., or a combination thereof, and the metal cap layer may be formed by a plating process.

在图10中,执行载体脱粘以将载体衬底114从密封剂110和集成电路管芯50分离(脱粘)。在载体衬底114通过释放层附接至密封剂110和集成电路管芯50的实施例中,脱粘包括将诸如激光或紫外线(UV)的光投射到释放层上,使得释放层在光的热量下分解,并且可以去除载体衬底114。然后将该结构翻转并且放置在胶带(未示出)上。10 , carrier debonding is performed to separate (debond) carrier substrate 114 from encapsulant 110 and integrated circuit die 50. In embodiments where carrier substrate 114 is attached to encapsulant 110 and integrated circuit die 50 by a release layer, debonding includes projecting light, such as laser or ultraviolet (UV), onto the release layer so that the release layer decomposes under the heat of the light and carrier substrate 114 can be removed. The structure is then flipped over and placed on tape (not shown).

随后,通过沿着例如封装区域100A、100B之间的划线区域98切割来执行切单工艺。图11A至图12D示出了根据各个实施例的该切单工艺。参考图11A和图11B,执行开槽工艺以在封装区域100A、100B之间的划线区域98中限定沟槽118。图11B示出了图11A中的区域100’的详细视图。在一些实施例中,开槽工艺可以是激光开槽工艺、等离子体切割工艺(例如,深等离子体切割工艺)等。可以穿过密封环80A、80B之间的晶圆70的背侧70B区域执行开槽工艺。例如,开槽工艺可以限定延伸穿过绝缘层116(参见图11A,图11B中未示出)、穿过衬底72、穿过互连结构74、穿过接合层78、穿过密封剂110,并进入集成电路管芯50的牺牲区46的沟槽118。具体地,开槽工艺可以去除集成电路管芯50的外围处的牺牲区46中的绝缘接合层58(如果存在,参见图7D)、互连结构54和衬底52的部分。在一些实施例中,开槽工艺可以去除密封环80B。在其他实施例中,开槽工艺可以受控于密封环80A、80B之间,以使得沟槽118设置在密封环80A、80B之间。在这样的实施例中,密封环80B可以保留在晶圆70中。尽管图11B示出了对应于图7B的实施例的详细视图,但是应当理解,在其他实施例中,所讨论的开槽工艺也可以应用于图7C或图7D的实施例。Subsequently, a singulation process is performed by cutting along, for example, the scribe line region 98 between the packaging regions 100A and 100B. Figures 11A to 12D illustrate the singulation process according to various embodiments. Referring to Figures 11A and 11B, a slotting process is performed to define a groove 118 in the scribe line region 98 between the packaging regions 100A and 100B. Figure 11B shows a detailed view of the region 100' in Figure 11A. In some embodiments, the slotting process may be a laser slotting process, a plasma cutting process (e.g., a deep plasma cutting process), etc. The slotting process may be performed through the backside 70B region of the wafer 70 between the sealing rings 80A and 80B. For example, the slotting process may define a groove 118 extending through the insulating layer 116 (see Figure 11A, not shown in Figure 11B), through the substrate 72, through the interconnect structure 74, through the bonding layer 78, through the sealant 110, and into the sacrificial region 46 of the integrated circuit die 50. Specifically, the slotting process can remove portions of the insulating bonding layer 58 (if present, see FIG. 7D ), the interconnect structure 54, and the substrate 52 in the sacrificial region 46 at the periphery of the integrated circuit die 50. In some embodiments, the slotting process can remove the sealing ring 80B. In other embodiments, the slotting process can be controlled between the sealing rings 80A, 80B so that the groove 118 is disposed between the sealing rings 80A, 80B. In such an embodiment, the sealing ring 80B can remain in the wafer 70. Although FIG. 11B shows a detailed view corresponding to the embodiment of FIG. 7B , it should be understood that in other embodiments, the slotting process discussed can also be applied to the embodiments of FIG. 7C or FIG. 7D .

然后,在图12A至图12D中,执行锯切工艺以将中介层140彼此完全分离并与晶圆70完全分离,以形成切单的集成电路封装件100。图12B至图12D示出了图12A中的区域100’的详细视图。图12B对应于图7B的实施例;图12C对应于图7C的实施例;并且图12D对应于图7D的实施例,每个实施例均如上面所述。应当理解,图12B至图12D的每个实施例可以在单个集成电路封装件100中实施。例如,将多个集成电路管芯50接合至每个集成电路封装件100中的中介层140。相应地,第一集成电路管芯50(例如,管芯50A)可以具有根据图12B至图12D的实施例中的任一个的第一配置,而第二集成电路管芯50(例如,管芯50B)可以具有根据图12B至图12D的实施例中的任一个的第二配置。第二集成电路管芯(例如,管芯50B)的第二配置可以与第一集成电路管芯50(例如,管芯50A)的第一配置相同或不同。Then, in FIGS. 12A to 12D , a sawing process is performed to completely separate the interposers 140 from each other and from the wafer 70 to form singulated integrated circuit packages 100 . FIGS. 12B to 12D show detailed views of the region 100 ′ in FIG. 12A . FIG. 12B corresponds to the embodiment of FIG. 7B ; FIG. 12C corresponds to the embodiment of FIG. 7C ; and FIG. 12D corresponds to the embodiment of FIG. 7D , each as described above. It should be understood that each of the embodiments of FIGS. 12B to 12D can be implemented in a single integrated circuit package 100 . For example, a plurality of integrated circuit dies 50 are bonded to the interposer 140 in each integrated circuit package 100 . Accordingly, the first integrated circuit die 50 (e.g., die 50A) can have a first configuration according to any of the embodiments of FIGS. 12B to 12D , and the second integrated circuit die 50 (e.g., die 50B) can have a second configuration according to any of the embodiments of FIGS. 12B to 12D . The second configuration of the second integrated circuit die (eg, die 50B) may be the same as or different from the first configuration of the first integrated circuit die 50 (eg, die 50A).

可以穿过划线区域98中的沟槽118执行锯切工艺。在一些实施例中,锯切工艺是使用锯片的机械工艺,锯片放置在沟槽118中以锯穿由沟槽118暴露的剩余的半导体衬底52。切单工艺将封装区域100A、100B彼此切单。所得切单的集成电路封装件100来自封装区域100A、100B之一。切单工艺由晶圆70和绝缘层116的切单部分形成中介层140。中介层140可以是没有有源器件(例如,晶体管、二极管等)的无源中介层,或者是其中设置有有源器件的有源中介层。每个集成电路封装件100包括中介层140。作为切单工艺的结果,集成电路管芯50可以悬垂并且横向延伸超过中介层140的外侧壁(参见图12B至图12D)。在一些实施例中,中介层140和集成电路管芯50的外侧壁可以是横向共末端的(在工艺变化内)。The sawing process can be performed through the grooves 118 in the scribe area 98. In some embodiments, the sawing process is a mechanical process using a saw blade, which is placed in the grooves 118 to saw through the remaining semiconductor substrate 52 exposed by the grooves 118. The singulation process singulates the package areas 100A and 100B from each other. The resulting singulated integrated circuit package 100 comes from one of the package areas 100A and 100B. The singulation process forms an interposer 140 from the singulated portions of the wafer 70 and the insulating layer 116. The interposer 140 can be a passive interposer without active devices (e.g., transistors, diodes, etc.), or an active interposer in which active devices are disposed. Each integrated circuit package 100 includes an interposer 140. As a result of the singulation process, the integrated circuit die 50 can overhang and extend laterally beyond the outer sidewalls of the interposer 140 (see Figures 12B to 12D). In some embodiments, the outer sidewalls of the interposer 140 and the integrated circuit die 50 may be laterally co-terminal (within process variations).

因为穿过集成电路管芯50执行切单工艺,所以可以去除集成电路管芯50的外围周围的密封剂110。此外,还可以去除晶圆70的多余部分(例如,位于密封剂110正下方的区域)。例如,集成电路封装100的外侧壁可以暴露集成电路管芯50的侧壁和中介层140的侧壁。在一些实施例中(参见图12B和图12C),平台62’中的密封剂110可以在集成电路封装件100的外侧壁处暴露。在省略平台62’的实施例中(参见图12D),集成电路封装件100可以包括一个或多个没有暴露密封剂110的外侧壁。在各个实施例中,在集成电路封装件100的外侧壁处的集成电路管芯50的侧壁可以没有设置在其上的任何密封剂110。Because the singulation process is performed through the integrated circuit die 50, the encapsulant 110 around the periphery of the integrated circuit die 50 can be removed. In addition, excess portions of the wafer 70 (e.g., the area directly below the encapsulant 110) can also be removed. For example, the outer sidewalls of the integrated circuit package 100 can expose the sidewalls of the integrated circuit die 50 and the sidewalls of the interposer 140. In some embodiments (see Figures 12B and 12C), the encapsulant 110 in the platform 62' can be exposed at the outer sidewalls of the integrated circuit package 100. In an embodiment in which the platform 62' is omitted (see Figure 12D), the integrated circuit package 100 may include one or more outer sidewalls that do not expose the encapsulant 110. In various embodiments, the sidewalls of the integrated circuit die 50 at the outer sidewalls of the integrated circuit package 100 may be free of any encapsulant 110 disposed thereon.

以这种方式,中介层140可以没有多余的悬垂。通过降低或消除中介层140中的多余悬垂,可以缓解切单的集成电路封装件100中的应力。例如,在集成电路封装件100的操作期间,不同的操作温度可能导致不期望的弯曲,特别是在中介层140的悬垂区域中(例如,中介层140的延伸超出集成电路管芯50的区域)。通过去除中介层140中多余的悬垂,可以显着降低甚至消除不期望的弯曲,从而降低集成电路封装件100中的应力。已经观察到,在由实施例切单方法产生的封装件中,在高温操作条件下应力可以降低高达84%,并且在低温操作条件下应力可以降低高达97%。In this manner, the interposer 140 can be free of excess overhang. By reducing or eliminating excess overhang in the interposer 140, stress in the singulated integrated circuit package 100 can be relieved. For example, during operation of the integrated circuit package 100, different operating temperatures can cause undesirable bending, particularly in the overhang region of the interposer 140 (e.g., the region of the interposer 140 that extends beyond the integrated circuit die 50). By removing excess overhang in the interposer 140, the undesirable bending can be significantly reduced or even eliminated, thereby reducing stress in the integrated circuit package 100. It has been observed that in packages produced by the embodiment singulation method, stress can be reduced by up to 84% under high temperature operating conditions, and stress can be reduced by up to 97% under low temperature operating conditions.

在图13A和图13B中,然后翻转集成电路封装件100并使用导电连接件136将集成电路封装件100附接到封装衬底200。图13A示出了包括平台62’的实施例,并且图13B示出了排除平台62’的实施例。封装衬底200包括衬底芯202,衬底芯202可以由诸如硅、锗、金刚石等的半导体材料制成。可选地,也可以使用化合物材料,诸如硅锗、碳化硅、砷化镓、砷化铟、磷化铟、碳化硅锗、磷砷化镓、磷化镓铟、这些的组合等。此外,衬底芯202可以是SOI衬底。通常地,SOI衬底包括半导体材料层,诸如外延硅、锗、硅锗、SOI、SGOI或它们的组合。在一个可选实施例中,衬底芯202是诸如玻璃纤维增强树脂芯的绝缘芯。一种示例的芯材料是玻璃纤维树脂,诸如FR4。用于芯材料的替代品包括双马来酰亚胺-三嗪(BT)树脂,或者可选地,其他印刷电路板(PCB)材料或膜。诸如味之素堆积膜(ABF)或其他层压件的堆积膜可以用于衬底芯202。In FIGS. 13A and 13B , the integrated circuit package 100 is then flipped over and attached to the package substrate 200 using the conductive connector 136 . FIG. 13A shows an embodiment including the platform 62 ', and FIG. 13B shows an embodiment excluding the platform 62 '. The package substrate 200 includes a substrate core 202, which can be made of a semiconductor material such as silicon, germanium, diamond, etc. Optionally, compound materials such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenide phosphide, gallium indium phosphide, combinations of these, etc. can also be used. In addition, the substrate core 202 can be an SOI substrate. Typically, the SOI substrate includes a semiconductor material layer such as epitaxial silicon, germanium, silicon germanium, SOI, SGOI, or a combination thereof. In an optional embodiment, the substrate core 202 is an insulating core such as a glass fiber reinforced resin core. An exemplary core material is a glass fiber resin such as FR4. Alternatives for the core material include bismaleimide-triazine (BT) resins, or alternatively, other printed circuit board (PCB) materials or films.Build-up films such as Ajinomoto built-up film (ABF) or other laminates may be used for the substrate core 202 .

衬底芯202可以包括有源器件和无源器件(未示出)。诸如晶体管、电容器、电阻器、这些的组合等的器件可以用于生成系统的设计的结构和功能要求。可以使用任何合适的方法来形成器件。The substrate core 202 may include active devices and passive devices (not shown). Devices such as transistors, capacitors, resistors, combinations of these, etc. may be used to generate the structural and functional requirements of the design of the system. Any suitable method may be used to form the devices.

衬底芯202还可以包括金属化层和通孔(未示出)以及位于金属化层与通孔上方的接合焊盘204。金属化层可以形成在有源器件和无源器件上方,并且设计为连接各种器件以形成功能电路。金属化层可以由介电材料(例如,低k介电材料)和导电材料(例如,铜)的交替层形成(其中通孔将导电材料层互连),并且可以通过任何合适的工艺(诸如沉积、镶嵌、双镶嵌等)形成金属化层。在一些实施例中,衬底芯202基本上没有有源器件和无源器件。The substrate core 202 may also include metallization layers and vias (not shown) and bonding pads 204 located above the metallization layers and vias. The metallization layers may be formed above the active and passive devices and are designed to connect the various devices to form functional circuits. The metallization layers may be formed of alternating layers of dielectric materials (e.g., low-k dielectric materials) and conductive materials (e.g., copper) (wherein the vias interconnect the conductive material layers), and the metallization layers may be formed by any suitable process (such as deposition, damascene, dual damascene, etc.). In some embodiments, the substrate core 202 is substantially free of active and passive devices.

回流导电连接件136以将UBM 132附接至接合焊盘204。导电连接件136将集成电路封装件100(包括互连结构74的金属化图案)连接至封装衬底200(包括衬底芯202中的金属化层)。因此,封装衬底200电连接至集成电路管芯50。在一些实施例中,可以在安装在封装衬底200上之前,将无源器件(例如,表面安装器件(SMD),未示出)附接至集成电路封装件100(例如,接合至UBM 132)。在这样的实施例中,无源器件可以与导电连接件136接合至集成电路封装件100的相同表面。在一些实施例中,无源器件(例如,SMD,未示出)可以附接至封装衬底200,例如,附接至接合焊盘204。The conductive connectors 136 are reflowed to attach the UBM 132 to the bonding pads 204. The conductive connectors 136 connect the integrated circuit package 100 (including the metallization pattern of the interconnect structure 74) to the package substrate 200 (including the metallization layer in the substrate core 202). Thus, the package substrate 200 is electrically connected to the integrated circuit die 50. In some embodiments, a passive device (e.g., a surface mount device (SMD), not shown) may be attached to the integrated circuit package 100 (e.g., bonded to the UBM 132) before being mounted on the package substrate 200. In such embodiments, the passive device may be bonded to the same surface of the integrated circuit package 100 as the conductive connectors 136. In some embodiments, the passive device (e.g., an SMD, not shown) may be attached to the package substrate 200, for example, to the bonding pads 204.

在一些实施例中,在集成电路封装件100和封装衬底200之间,围绕导电连接件136和UBM 132形成底部填充物206。底部填充物206可以在附接集成电路封装件100之后通过毛细管流动工艺形成,或者可以在附接集成电路封装件100之前通过合适的沉积方法形成。底部填充物206可以是从封装衬底200延伸至中介层140(例如,绝缘层116)的连续材料。底部填充物206可以还沿着集成电路管芯50的侧壁延伸并且物理接触集成电路管芯50的侧壁。在包括平台62’的实施例中(图13A),底部填充物还可以物理接触设置在绝缘接合层58、78之间的密封剂110的侧壁。In some embodiments, an underfill 206 is formed between the integrated circuit package 100 and the package substrate 200 around the conductive connector 136 and the UBM 132. The underfill 206 can be formed by a capillary flow process after the integrated circuit package 100 is attached, or can be formed by a suitable deposition method before the integrated circuit package 100 is attached. The underfill 206 can be a continuous material extending from the package substrate 200 to the interposer 140 (e.g., the insulating layer 116). The underfill 206 can also extend along the sidewalls of the integrated circuit die 50 and physically contact the sidewalls of the integrated circuit die 50. In an embodiment including a platform 62' (Figure 13A), the underfill can also physically contact the sidewalls of the encapsulant 110 disposed between the insulating bonding layers 58, 78.

可选地,将散热器208附接至集成电路封装件100。散热器208可以由具有高导热性的材料形成,诸如钢、不锈钢、铜等或它们的组合。散热器208可以包括热盖208A和环208B,散热器208可以通过粘合剂或热界面材料(TIM)附接至集成电路封装件100。在一些实施例中,在俯视图中,环208B可以环绕集成电路封装件100。散热器208保护集成电路封装件100并且形成热通路以传导来自集成电路封装件100的各种组件(例如,集成电路管芯50)的热量。散热器208与集成电路管芯50和密封剂110接触。Optionally, a heat sink 208 is attached to the integrated circuit package 100. The heat sink 208 may be formed of a material having high thermal conductivity, such as steel, stainless steel, copper, or the like, or a combination thereof. The heat sink 208 may include a thermal cover 208A and a ring 208B, and the heat sink 208 may be attached to the integrated circuit package 100 by an adhesive or a thermal interface material (TIM). In some embodiments, in a top view, the ring 208B may surround the integrated circuit package 100. The heat sink 208 protects the integrated circuit package 100 and forms a thermal path to conduct heat from various components of the integrated circuit package 100 (e.g., the integrated circuit die 50). The heat sink 208 is in contact with the integrated circuit die 50 and the encapsulant 110.

根据各个实施例,通过将集成电路管芯直接接合至包含另一器件(例如中介层)的晶圆来形成集成电路封装件,以及将模塑料分配在集成电路管芯周围作为密封剂。然后,穿过中介层和集成电路管芯进行切割来执行切单工艺。例如,沿着集成电路管芯的外围的牺牲区和中介层的密封环可以包括在器件设计中,以使得在这些牺牲区中不形成功能电路。然后可以执行切单工艺以穿过牺牲区以及在中介层的密封环之间进行切割。在一些实施例中,中介层的牺牲区可以由一个或多个密封环限定,并且至少一个密封环设置在集成电路管芯正下方。According to various embodiments, an integrated circuit package is formed by directly bonding an integrated circuit die to a wafer containing another device (e.g., an interposer), and a molding compound is dispensed around the integrated circuit die as a sealant. Then, a singulation process is performed by cutting through the interposer and the integrated circuit die. For example, sacrificial areas along the periphery of the integrated circuit die and sealing rings of the interposer may be included in the device design so that no functional circuits are formed in these sacrificial areas. The singulation process may then be performed to cut through the sacrificial areas and between the sealing rings of the interposer. In some embodiments, the sacrificial area of the interposer may be defined by one or more sealing rings, and at least one sealing ring is disposed directly below the integrated circuit die.

以这种方式,去除了集成电路管芯的外周周围的模塑料和中介层的多余悬垂,有利地降低了所得封装件中的应力。通过降低或消除底部中介层中的多余悬垂,可以缓解接合的封装件中的应力(例如,降低不期望的弯曲)。已经观察到,在由实施例切单方法产生的封装件中,在高温操作条件下应力可以降低高达84%,并且在低温操作条件下应力可以降低高达97%。In this manner, excess overhang of the molding compound and interposer around the periphery of the integrated circuit die is removed, advantageously reducing stress in the resulting package. By reducing or eliminating excess overhang in the bottom interposer, stress in the bonded package can be relieved (e.g., reducing undesirable bowing). It has been observed that in packages produced by embodiment singulation methods, stress can be reduced by up to 84% under high temperature operating conditions, and stress can be reduced by up to 97% under low temperature operating conditions.

在一些实施例中,封装件包括集成电路管芯和中介层。集成电路管芯包括第一绝缘接合层和第一半导体衬底。中介层包括第二绝缘接合层、第一密封环和第二半导体衬底,其中第二绝缘接合层以电介质对电介质接合而直接接合至第一绝缘接合层。集成电路管芯与第一密封环重叠,并且其中集成电路管芯的侧壁暴露在封装件的外侧壁处。在一些实施例中,集成电路管芯还包括第二密封环,并且其中第一密封环横向偏离第二密封环。在一些实施例中,第一密封环和封装件的外侧壁之间的横向距离小于第二密封环和封装件的外侧壁之间的横向距离。在一些实施例中,集成电路管芯还包括第二密封环,并且其中第二密封环与第一密封环重叠。在一些实施例中,封装件还包括位于第一绝缘接合层和第二绝缘接合层之间的密封剂。在一些实施例中,设置在第一绝缘接合层和第二绝缘接合层之间的密封剂的侧壁暴露在封装件的外侧壁处。在一些实施例中,集成电路管芯横向延伸超过在封装件的外侧壁处暴露的中介层的侧壁。在一些实施例中,中介层接合至封装衬底,其中底部填充物设置在封装件和封装衬底之间,并且其中底部填充物直接接触集成电路管芯的侧壁。In some embodiments, the package includes an integrated circuit die and an interposer. The integrated circuit die includes a first insulating bonding layer and a first semiconductor substrate. The interposer includes a second insulating bonding layer, a first sealing ring, and a second semiconductor substrate, wherein the second insulating bonding layer is directly bonded to the first insulating bonding layer with a dielectric-to-dielectric bond. The integrated circuit die overlaps with the first sealing ring, and wherein the sidewall of the integrated circuit die is exposed at the outer sidewall of the package. In some embodiments, the integrated circuit die also includes a second sealing ring, and wherein the first sealing ring is laterally offset from the second sealing ring. In some embodiments, the lateral distance between the first sealing ring and the outer sidewall of the package is less than the lateral distance between the second sealing ring and the outer sidewall of the package. In some embodiments, the integrated circuit die also includes a second sealing ring, and wherein the second sealing ring overlaps with the first sealing ring. In some embodiments, the package also includes a sealant located between the first insulating bonding layer and the second insulating bonding layer. In some embodiments, the sidewall of the sealant disposed between the first insulating bonding layer and the second insulating bonding layer is exposed at the outer sidewall of the package. In some embodiments, the integrated circuit die extends laterally beyond the sidewall of the interposer exposed at the outer sidewall of the package. In some embodiments, the interposer is bonded to the package substrate, wherein an underfill is disposed between the package and the package substrate, and wherein the underfill directly contacts a sidewall of the integrated circuit die.

在一些实施例中,一种方法包括将第一集成电路管芯接合至中介层,其中中介层包括第一密封环和第二密封环;在第一集成电路管芯周围分配密封剂;在分配密封剂之后,对位于第一密封环和第二密封环之间的区域中的中介层执行切单工艺,以形成切单的封装件。切单工艺去除第一集成电路管芯的部分、第一集成电路管芯的侧壁上的密封剂的第一部分、以及位于第一密封环和第二密封环之间的中介层的部分。在一些实施例中,第一集成电路管芯与第一密封环重叠。在一些实施例中,该方法还包括将第二集成电路管芯接合至中介层,其中第二集成电路管芯与第一密封环重叠,其中分配密封剂包括在第一集成电路管芯和第二集成电路管芯之间分配密封剂的第二部分,并且其中在切单工艺之后,第一集成电路管芯和第二集成电路管芯之间的密封剂的第二部分保留。在一些实施例中,密封剂与第二密封环重叠。在一些实施例中,第一集成电路管芯包括第三密封环,并且其中第三密封环限定牺牲区的边界,并且其中由切单工艺去除的第一集成电路管芯的部分位于牺牲区中。在一些实施例中,该方法还包括将切单的封装件接合至衬底;以及在切单的封装件和衬底之间分配底部填充物,其中底部填充物物理接触中介层的侧壁和第一集成电路管芯的侧壁。在一些实施例中,切单工艺包括开槽工艺以及锯切工艺,开槽工艺形成延伸穿过中介层并且进入第一集成电路管芯的半导体衬底的凹槽,锯切工艺锯穿第一集成电路管芯的半导体衬底的由凹槽暴露的剩余部分。在一些实施例中,开槽工艺去除第二密封环。In some embodiments, a method includes bonding a first integrated circuit die to an interposer, wherein the interposer includes a first seal ring and a second seal ring; dispensing an encapsulant around the first integrated circuit die; after dispensing the encapsulant, performing a singulation process on the interposer in an area between the first seal ring and the second seal ring to form a singulated package. The singulation process removes a portion of the first integrated circuit die, a first portion of the encapsulant on a sidewall of the first integrated circuit die, and a portion of the interposer between the first seal ring and the second seal ring. In some embodiments, the first integrated circuit die overlaps the first seal ring. In some embodiments, the method further includes bonding a second integrated circuit die to the interposer, wherein the second integrated circuit die overlaps the first seal ring, wherein dispensing the encapsulant includes dispensing a second portion of the encapsulant between the first integrated circuit die and the second integrated circuit die, and wherein after the singulation process, the second portion of the encapsulant between the first integrated circuit die and the second integrated circuit die remains. In some embodiments, the encapsulant overlaps the second seal ring. In some embodiments, the first integrated circuit die includes a third seal ring, and wherein the third seal ring defines a boundary of a sacrificial region, and wherein the portion of the first integrated circuit die removed by the singulation process is located in the sacrificial region. In some embodiments, the method further includes bonding the singulated package to a substrate; and dispensing an underfill between the singulated package and the substrate, wherein the underfill physically contacts a sidewall of the interposer and a sidewall of the first integrated circuit die. In some embodiments, the singulation process includes a slotting process that forms a groove extending through the interposer and into the semiconductor substrate of the first integrated circuit die, and a sawing process that saws through the remaining portion of the semiconductor substrate of the first integrated circuit die exposed by the groove. In some embodiments, the slotting process removes the second seal ring.

在一些实施例中,一种方法包括将集成电路管芯接合至中介层,其中集成电路管芯包括第一密封环以及位于第一密封环和集成电路管芯的外侧壁之间的牺牲区;在集成电路管芯周围分配密封剂;以及执行切单工艺以形成切单的封装件。穿过中介层和集成电路管芯的牺牲区来执行切单工艺,并且在切单工艺之后,集成电路管芯暴露在切单的封装件的外侧壁处。在一些实施例中,中介层包括第二密封环,并且其中集成电路管芯与第二密封环重叠。在一些实施例中,第一密封环与第二密封环重叠。在一些实施例中,中介层还包括第三密封环,并且其中切单工艺是在第二密封环和第三密封环之间的区域中执行的。In some embodiments, a method includes bonding an integrated circuit die to an interposer, wherein the integrated circuit die includes a first sealing ring and a sacrificial area between the first sealing ring and an outer sidewall of the integrated circuit die; dispensing a sealant around the integrated circuit die; and performing a singulation process to form a singulated package. The singulation process is performed through the interposer and the sacrificial area of the integrated circuit die, and after the singulation process, the integrated circuit die is exposed at the outer sidewall of the singulated package. In some embodiments, the interposer includes a second sealing ring, and wherein the integrated circuit die overlaps the second sealing ring. In some embodiments, the first sealing ring overlaps the second sealing ring. In some embodiments, the interposer also includes a third sealing ring, and wherein the singulation process is performed in the area between the second sealing ring and the third sealing ring.

前面概述了若干实施例的特征,使得本领域人员可以更好地理解本公开的方面。本领域人员应该理解,它们可以容易地使用本公开作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造不背离本公开的精神和范围,并且在不背离本公开的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。The features of several embodiments are summarized above so that those skilled in the art can better understand aspects of the present disclosure. Those skilled in the art should understand that they can easily use the present disclosure as a basis to design or modify other processes and structures for implementing the same purpose and/or achieving the same advantages as the embodiments introduced herein. Those skilled in the art should also appreciate that such equivalent constructions do not deviate from the spirit and scope of the present disclosure, and that they can make various changes, substitutions, and modifications herein without departing from the spirit and scope of the present disclosure.

Claims (10)

1. A package, comprising:
an integrated circuit die comprising a first insulating bonding layer and a first semiconductor substrate; and
An interposer comprising a second insulating bond layer, a first seal ring, and a second semiconductor substrate, wherein the second insulating bond layer is directly bonded to the first insulating bond layer with a dielectric-to-dielectric bond, and wherein the integrated circuit die overlaps the first seal ring, and wherein a sidewall of the integrated circuit die is exposed at an outer sidewall of the package.
2. The package of claim 1, wherein the integrated circuit die further comprises a second seal ring, and wherein the first seal ring is laterally offset from the second seal ring.
3. The package of claim 2, wherein a lateral distance between the first seal ring and the outer sidewall of the package is less than a lateral distance between the second seal ring and the outer sidewall of the package.
4. The package of claim 1, wherein the integrated circuit die further comprises a second seal ring, and wherein the second seal ring overlaps the first seal ring.
5. The package of claim 1, further comprising a sealant between the first and second insulating bond layers.
6. The package of claim 5, wherein a sidewall of the sealant disposed between the first and second insulating bonding layers is exposed at the outer sidewall of the package.
7. The package of claim 1, wherein the integrated circuit die extends laterally beyond a sidewall of the interposer exposed at the outer sidewall of the package.
8. The package of claim 1, wherein the interposer is bonded to a package substrate, wherein an underfill is disposed between the package and the package substrate, and wherein the underfill directly contacts the sidewalls of the integrated circuit die.
9. A method of forming a package, comprising:
bonding the first integrated circuit die to an interposer, wherein the interposer includes a first seal ring and a second seal ring;
Dispensing an encapsulant around the first integrated circuit die; and
After dispensing the encapsulant, a singulation process is performed on the interposer in a region between the first and second seal rings to form singulated packages, wherein the singulation process removes portions of the first integrated circuit die, first portions of the encapsulant on sidewalls of the first integrated circuit die, and portions of the interposer between the first and second seal rings.
10. A method, comprising:
bonding an integrated circuit die to an interposer, wherein the integrated circuit die includes a first seal ring and a sacrificial region between the first seal ring and an outer sidewall of the integrated circuit die;
dispensing an encapsulant around the integrated circuit die; and
A singulation process is performed to form singulated packages, wherein the singulation process is performed through the interposer and the sacrificial areas of the integrated circuit die, and wherein after the singulation process, the integrated circuit die is exposed at an outer sidewall of the singulated packages.
CN202410747453.4A 2023-06-08 2024-06-11 Package and method of forming the same Pending CN118782548A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/506,851 2023-06-08
US18/452,257 US20240413101A1 (en) 2023-06-08 2023-08-18 Seal rings in integrated circuit package and method
US18/452,257 2023-08-18

Publications (1)

Publication Number Publication Date
CN118782548A true CN118782548A (en) 2024-10-15

Family

ID=92978302

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202410747453.4A Pending CN118782548A (en) 2023-06-08 2024-06-11 Package and method of forming the same

Country Status (1)

Country Link
CN (1) CN118782548A (en)

Similar Documents

Publication Publication Date Title
US11854785B2 (en) Package structure for heat dissipation
TWI697056B (en) Semiconductor device package and method
CN110634847B (en) Semiconductor device and method
TWI719730B (en) Integrated circuit package and manufacturing method thereof
CN113809018B (en) Integrated circuit device and method of forming the same
KR102730607B1 (en) Integrated circuit package and method
KR102710955B1 (en) Integrated fan out package and method
CN112582389B (en) Semiconductor package, package and forming method thereof
TWI790702B (en) Semiconductor package and method of manufacturing semiconductor package
TWI775443B (en) Semiconductor packaging and methods of forming same
TWI758129B (en) Semiconductor packages
CN220400576U (en) Device package and semiconductor package
TWI876588B (en) Package and method for manufacturing the same
CN118782548A (en) Package and method of forming the same
US20240413101A1 (en) Seal rings in integrated circuit package and method
US20240413097A1 (en) Stress buffer in integrated circuit package and method
CN118712144A (en) Package and method of forming the same
TWI853472B (en) Package and manufacturing method of semiconductor device
CN221977934U (en) Integrated Circuit Package
TWI871780B (en) Integrated circuit packages and methods of forming the same
TW202450018A (en) Semiconductor device and method of manufacturing thereof
CN118588671A (en) Semiconductor device and method for forming the same
KR20240164417A (en) Integrated circuit packages and methods of forming the same
TW202507959A (en) Surface treatment in integrated circuit package and method
CN119495675A (en) Package and method of forming the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination