[go: up one dir, main page]

CN118116929A - Integrated circuit structure and method for manufacturing high voltage field effect transistor - Google Patents

Integrated circuit structure and method for manufacturing high voltage field effect transistor Download PDF

Info

Publication number
CN118116929A
CN118116929A CN202410175863.6A CN202410175863A CN118116929A CN 118116929 A CN118116929 A CN 118116929A CN 202410175863 A CN202410175863 A CN 202410175863A CN 118116929 A CN118116929 A CN 118116929A
Authority
CN
China
Prior art keywords
well
semiconductor substrate
drain
source
gate structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410175863.6A
Other languages
Chinese (zh)
Inventor
谢侑颖
李政键
吴惠珊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/352,847 external-priority patent/US20240274669A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN118116929A publication Critical patent/CN118116929A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/80Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
    • H10D84/82Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
    • H10D84/83Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
    • H10D84/834Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET] comprising FinFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0151Manufacturing their isolation regions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0156Manufacturing their doped wells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/0123Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
    • H10D84/0126Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
    • H10D84/0158Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including FinFETs
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D84/00Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
    • H10D84/01Manufacture or treatment
    • H10D84/02Manufacture or treatment characterised by using material-based technologies
    • H10D84/03Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
    • H10D84/038Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

The IC structure includes: a semiconductor substrate; an isolation structure formed in the semiconductor substrate to define an active region surrounded by the isolation member; a first well of a first conductivity type formed in the semiconductor substrate; a neutral region formed in the semiconductor substrate and laterally surrounding the first well; a second well of a second conductivity type formed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; a source electrode disposed on the second well of the semiconductor substrate; a drain electrode disposed on the first well of the semiconductor substrate; and a gate structure interposed between the source and the drain. The gate structure engages the first well, the neutral region, and the second well of the semiconductor substrate. The source, drain and gate structures are configured as FETs. Embodiments of the present application also relate to methods of fabricating high voltage field effect transistors.

Description

集成电路结构和制造高电压场效应晶体管的方法Integrated circuit structure and method for manufacturing high voltage field effect transistor

技术领域Technical Field

本申请的实施例集成电路结构和制造高电压场效应晶体管的方法。Embodiments of the present application provide integrated circuit structures and methods for manufacturing high voltage field effect transistors.

背景技术Background technique

半导体集成电路(IC)工业经历了指数级增长。IC材料和设计中的技术进步已经产生了多代IC,其中每一代都具有比上一代更小且更复杂的电路。在IC发展的过程中,功能密度(即,每芯片区的互连器件的数量)普遍增大,而几何尺寸(即,可以使用制造工艺创建的最小组件(或线))已经减小。这种缩小工艺通常通过提高生产效率和降低相关成本来提供益处。这样的缩小也增加了IC处理和制造的复杂性,并且为了实现这些进步,需要IC处理和制造中的类似发展。例如,用于高电压应用的高电压场效应晶体管(FET)面临各种挑战,包括击穿电压、导通状态沟道电阻、漏极饱和电流、截止状态电流、信噪比等。因此,虽然传统的高电压FET通常已经足以满足它们的预期目的,但是它们并不是在每个方面都令人满意。The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced multiple generations of ICs, each of which has smaller and more complex circuits than the previous generation. In the process of IC development, functional density (i.e., the number of interconnected devices per chip area) has generally increased, while geometric size (i.e., the smallest component (or line) that can be created using a manufacturing process) has decreased. This shrinking process generally provides benefits by improving production efficiency and reducing related costs. Such shrinking also increases the complexity of IC processing and manufacturing, and in order to achieve these advances, similar developments in IC processing and manufacturing are required. For example, high voltage field effect transistors (FETs) for high voltage applications face various challenges, including breakdown voltage, on-state channel resistance, drain saturation current, off-state current, signal-to-noise ratio, etc. Therefore, although traditional high voltage FETs are generally sufficient to meet their intended purposes, they are not satisfactory in every aspect.

发明内容Summary of the invention

本申请的一些实施例提供了一种集成电路(IC)结构,包括:半导体衬底;隔离结构,形成在所述半导体衬底中,从而限定由所述隔离部件围绕的有源区域;第一导电类型的第一阱,形成在所述半导体衬底中;中性区域,形成在所述半导体衬底中并且横向围绕所述第一阱;第二导电类型的第二阱,形成在所述半导体衬底上并且横向围绕所述中性区域,所述第二导电类型与所述第一导电类型相反;源极,设置在所述半导体衬底的所述第二阱上;漏极,设置在所述半导体衬底的所述第一阱上;以及栅极结构,介于所述源极和所述漏极之间,所述栅极结构接合所述半导体衬底的所述第一阱、所述中性区域和所述第二阱,其中,所述源极、所述漏极和所述栅极结构配置为第一场效应晶体管(FET)。Some embodiments of the present application provide an integrated circuit (IC) structure, comprising: a semiconductor substrate; an isolation structure formed in the semiconductor substrate to define an active area surrounded by the isolation component; a first well of a first conductivity type formed in the semiconductor substrate; a neutral region formed in the semiconductor substrate and laterally surrounding the first well; a second well of a second conductivity type formed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; a source disposed on the second well of the semiconductor substrate; a drain disposed on the first well of the semiconductor substrate; and a gate structure interposed between the source and the drain, the gate structure joining the first well, the neutral region and the second well of the semiconductor substrate, wherein the source, the drain and the gate structure are configured as a first field effect transistor (FET).

本申请的另一些实施例提供了一种集成电路(IC)结构,包括:半导体衬底;浅沟槽隔离(STI)部件,形成在所述半导体衬底中,从而限定由所述浅沟槽隔离部件围绕的有源区域;第一导电类型的第一阱,设置在所述半导体衬底上;中性区域,设置在所述半导体衬底上并且横向围绕所述第一阱;第二导电类型的第二阱,设置在所述半导体衬底上并且横向围绕所述中性区域,所述第二导电类型与所述第一导电类型相反;以及第一场效应晶体管(FET)和第二场效应晶体管,形成在所述半导体衬底上,其中,所述第一场效应晶体管包括设置在所述第二阱上的第一源极、设置在所述第一阱上的漏极以及介于所述第一源极和所述漏极之间的第一栅极结构,所述第一栅极结构接合在所述第一阱、所述中性区域和所述第二阱上,和所述第二场效应晶体管包括设置在所述第二阱上的第二源极、所述漏极以及介于所述第二源极和所述漏极之间的第二栅极结构,第二栅极结构接合在所述第一阱、所述中性区域和所述第二阱上。Some other embodiments of the present application provide an integrated circuit (IC) structure, comprising: a semiconductor substrate; a shallow trench isolation (STI) component formed in the semiconductor substrate to define an active area surrounded by the shallow trench isolation component; a first well of a first conductivity type, disposed on the semiconductor substrate; a neutral region, disposed on the semiconductor substrate and laterally surrounding the first well; a second well of a second conductivity type, disposed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; and a first field effect transistor (FET) and a second field effect transistor, formed on the semiconductor substrate, wherein the first field effect transistor comprises a first source disposed on the second well, a drain disposed on the first well, and a first gate structure between the first source and the drain, the first gate structure being bonded to the first well, the neutral region, and the second well, and the second field effect transistor comprises a second source disposed on the second well, the drain, and a second gate structure between the second source and the drain, the second gate structure being bonded to the first well, the neutral region, and the second well.

本申请的又一些实施例提供了一种制造高电压场效应晶体管的方法,包括:在半导体衬底中形成第一导电类型的第一阱;在所述半导体衬底上形成第二导电类型的第二阱,从而使得所述第二阱横向包围所述第一阱并且用所述第一阱和所述第二阱之间的中性区域与所述第一阱隔开,所述第二导电类型与所述第一导电类型相反;形成由具有不均匀厚度的隔离结构围绕的有源区域,其中,所述有源区域包括平面有源区域和鳍有源区域;在所述第二阱中形成源极;在所述第一阱中形成漏极;以及形成介于所述源极和所述漏极之间的栅极结构,所述栅极结构设置在所述第一阱、所述中性区域和所述第二阱上。Some other embodiments of the present application provide a method for manufacturing a high-voltage field effect transistor, comprising: forming a first well of a first conductivity type in a semiconductor substrate; forming a second well of a second conductivity type on the semiconductor substrate, so that the second well laterally surrounds the first well and is separated from the first well by a neutral region between the first well and the second well, the second conductivity type being opposite to the first conductivity type; forming an active area surrounded by an isolation structure having an uneven thickness, wherein the active area includes a planar active area and a fin active area; forming a source in the second well; forming a drain in the first well; and forming a gate structure between the source and the drain, the gate structure being arranged on the first well, the neutral region and the second well.

附图说明BRIEF DESCRIPTION OF THE DRAWINGS

当结合附图进行阅读时,从以下详细描述可最佳理解本公开实施例的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。When read in conjunction with the accompanying drawings, various aspects of the disclosed embodiments can be best understood from the following detailed description. It should be noted that, in accordance with standard practice in the industry, the various components are not drawn to scale. In fact, for clarity of discussion, the size of the various components may be arbitrarily increased or reduced.

图1A是根据本公开的一些实施例的具有一个或多个FET器件的集成电路(IC)结构的顶视图;1A is a top view of an integrated circuit (IC) structure having one or more FET devices according to some embodiments of the present disclosure;

图1B是根据本公开的一些实施例的图1A的IC结构的截面图;FIG. 1B is a cross-sectional view of the IC structure of FIG. 1A according to some embodiments of the present disclosure;

图2A是根据本公开的一些实施例的IC结构的部分顶视图;FIG. 2A is a partial top view of an IC structure according to some embodiments of the present disclosure;

图2B是根据本公开的一些实施例的图2A的IC结构的部分截面图;FIG. 2B is a partial cross-sectional view of the IC structure of FIG. 2A according to some embodiments of the present disclosure;

图3A是根据本公开的一些实施例的IC结构的顶视图;FIG. 3A is a top view of an IC structure according to some embodiments of the present disclosure;

图3B是根据本公开的一些实施例的图3A的IC结构的部分截面图;3B is a partial cross-sectional view of the IC structure of FIG. 3A according to some embodiments of the present disclosure;

图4A是根据本公开的一些实施例的IC结构的顶视图;FIG. 4A is a top view of an IC structure according to some embodiments of the present disclosure;

图4B是根据本公开的一些实施例的IC结构的部分顶视图;FIG. 4B is a partial top view of an IC structure according to some embodiments of the present disclosure;

图4C是根据本公开的一些实施例的图4A(或图4B)的IC结构的部分截面图;FIG. 4C is a partial cross-sectional view of the IC structure of FIG. 4A (or FIG. 4B ) according to some embodiments of the present disclosure;

图4D是根据本公开的一些实施例的图4A(或图4B)的IC结构的部分截面图;4D is a partial cross-sectional view of the IC structure of FIG. 4A (or FIG. 4B ) according to some embodiments of the present disclosure;

图5A是根据本公开的一些实施例的IC结构的部分顶视图;FIG5A is a partial top view of an IC structure according to some embodiments of the present disclosure;

图5B是根据本公开的一些实施例的IC结构的部分顶视图;FIG5B is a partial top view of an IC structure according to some embodiments of the present disclosure;

图6A、图6B、图6C、图6D、图6E、图6F和图6G是根据本公开的各个实施例构造的IC结构的部分顶视图;以及6A, 6B, 6C, 6D, 6E, 6F, and 6G are partial top views of IC structures constructed according to various embodiments of the present disclosure; and

图7是根据本公开的一些实施例的制造IC结构的方法的流程图。FIG. 7 is a flow chart of a method of fabricating an IC structure according to some embodiments of the present disclosure.

具体实施方式Detailed ways

以下公开内容提供了许多用于实现不同特征的不同实施例或实例。可以在本文描述的各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示各个公开的实施例和/或配置之间的关系。此外,下面描述了组件和布置的具体实例以简化本公开实施例。当然,这些仅仅是实例,并不旨在进行限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,在本公开实施例中,在另一部件上形成、连接至和/或耦合至另一部件的部件可以包括部件直接接触形成的实施例,并且也可以包括形成介于部件之间的额外部件,从而使得部件可以不直接接触的实施例。The following disclosure provides many different embodiments or examples for realizing different features. Reference numerals and/or characters may be repeated in each example described herein. This repetition is for the purpose of simplicity and clarity, and does not itself indicate the relationship between each disclosed embodiment and/or configuration. In addition, the specific examples of components and arrangements are described below to simplify the disclosed embodiments. Of course, these are merely examples and are not intended to be limiting. For example, in the following description, forming a first component above or on a second component may include an embodiment in which the first component and the second component are directly contacted to form, and may also include an embodiment in which an additional component may be formed between the first component and the second component, so that the first component and the second component may not be in direct contact. In addition, in the disclosed embodiments, a component formed on another component, connected to and/or coupled to another component may include an embodiment in which the component is directly contacted to form, and may also include an embodiment in which the additional component formed between the components may not be in direct contact.

此外,本公开可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。此外,在以下本公开实施例中,在另一部件上形成、连接至和/或耦合至另一部件的部件可以包括部件直接接触形成的实施例,并且也可以包括形成介于部件之间的额外部件,从而使得部件可以不直接接触的实施例。此外,使用例如“下部”、“上部”、“水平”、“垂直”、“在…之上”、“在…上方”、“在…下方”、“在…之下”、“向上”、“向下”、“顶部”、“底部”等空间相对术语以及它们的衍生词(例如,“水平地”、“向下地”、“向上地”等)以易于理解本公开实施例的一个部件与另一部件的关系。空间相对术语旨在涵盖包括部件的器件的不同方位。此外,当用“约”、“大约”等描述数值或数值范围时,该术语旨在涵盖在包括数值的合理范围内的数值,诸如在数值的+/-10%内或本领域技术人员所理解的其他值。例如,术语“约5nm”涵盖从4.5nm至5.5nm的尺寸范围。In addition, the present disclosure may repeat reference numerals and/or characters in various examples. This repetition is for the purpose of simplicity and clarity, and does not itself indicate the relationship between the various embodiments and/or configurations discussed. In addition, in the following embodiments of the present disclosure, a component formed on another component, connected to and/or coupled to another component may include an embodiment in which the components are directly contacted, and may also include an embodiment in which an additional component is formed between the components so that the components may not be directly contacted. In addition, spatial relative terms such as "lower", "upper", "horizontal", "vertical", "above", "above", "below", "below", "upward", "downward", "top", "bottom" and their derivatives (e.g., "horizontally", "downward", "upward", etc.) are used to easily understand the relationship between one component and another component of the embodiments of the present disclosure. Spatial relative terms are intended to cover different orientations of devices including components. In addition, when "about", "approximately", etc. are used to describe a numerical value or a numerical range, the term is intended to cover a numerical value within a reasonable range including the numerical value, such as within +/-10% of the numerical value or other values understood by those skilled in the art. For example, the term "about 5nm" covers a size range from 4.5nm to 5.5nm.

本公开实施例总体涉及集成电路(IC)结构及其制造方法,并且更具体地,涉及高电压场效应晶体管(FET)结构。在各个实施例中,IC结构包括平面FET结构和多栅极器件,诸如形成在鳍有源区域上的FET,以及具有彼此垂直堆叠的多个沟道的纳米片结构,以努力通过增加栅极-沟道耦合来改进栅极控制,减小截止状态电流,并且减小短沟道效应(SCE)。The disclosed embodiments generally relate to integrated circuit (IC) structures and methods of manufacturing the same, and more particularly to high voltage field effect transistor (FET) structures. In various embodiments, the IC structures include planar FET structures and multi-gate devices, such as FETs formed on fin active areas, and nanosheet structures with multiple channels stacked vertically on each other in an effort to improve gate control by increasing gate-channel coupling, reduce off-state current, and reduce short channel effects (SCE).

所公开的FET结构形成在平面有源区域上作为平面FET器件,并且可选地形成在三维(3D)结构上,诸如多栅极FET器件。多栅极器件的实例包括具有鳍状结构和多桥沟道(MBC)的鳍状场效应晶体管(FinFET)。MBC晶体管具有栅极结构,栅极结构可以部分或完全在沟道区域周围延伸,以在两侧或多侧上提供对沟道区域的访问。因为其栅极结构围绕沟道区域,所以MBC晶体管也可以称为具有垂直堆叠的多个沟道构件的围绕栅极晶体管(SGT)或全环栅(GAA)晶体管。IC结构可以包括其它合适的器件结构,诸如叉片FET和互补FET(CFET)结构。根据本公开的各个实施例,共同详细描述了IC结构及其制造方法。The disclosed FET structure is formed on a planar active area as a planar FET device, and is optionally formed on a three-dimensional (3D) structure, such as a multi-gate FET device. Examples of multi-gate devices include fin field effect transistors (FinFETs) having a fin structure and a multi-bridge channel (MBC). The MBC transistor has a gate structure that can extend partially or completely around the channel region to provide access to the channel region on two or more sides. Because its gate structure surrounds the channel region, the MBC transistor can also be referred to as a gate-around transistor (SGT) or a gate-all-around (GAA) transistor having multiple channel members stacked vertically. The IC structure may include other suitable device structures, such as fork-piece FETs and complementary FET (CFET) structures. According to various embodiments of the present disclosure, the IC structure and its manufacturing method are described in detail together.

图1A是具有一个或多个FET的IC结构100的顶视图,并且图1B是根据各个实施例构造的IC结构100沿虚线AA’截取的截面图。在本实施例中,IC结构100的FET设计为用于高电压应用,因此也称为高电压FET(HVFET)。在IC结构100的公开实施例中,提供了一个或多个n型FET(nFET)作为实例进行说明。但是,它并不旨在进行限制,IC结构100可以额外地或可选地包括一个或多个p型FET(pFET)。在图1A和图1B中,IC结构100包括并排配置的两个场效应晶体管,尤其是共享共用漏极。FIG. 1A is a top view of an IC structure 100 having one or more FETs, and FIG. 1B is a cross-sectional view of the IC structure 100 constructed according to various embodiments along the dashed line AA'. In the present embodiment, the FET of the IC structure 100 is designed for high voltage applications and is therefore also referred to as a high voltage FET (HVFET). In the disclosed embodiment of the IC structure 100, one or more n-type FETs (nFETs) are provided as examples for illustration. However, it is not intended to be limiting, and the IC structure 100 may additionally or optionally include one or more p-type FETs (pFETs). In FIGS. 1A and 1B, the IC structure 100 includes two field effect transistors configured side by side, particularly sharing a common drain.

IC结构100包括衬底102。衬底102是半导体衬底。半导体衬底102包括硅。在一些其它实施例中,衬底102包括锗、硅锗或其它适当的半导体材料。可选地,衬底102可以由一些其它合适的元素半导体,诸如金刚石或锗;合适的化合物半导体,诸如碳化硅、砷化铟或磷化铟;或者合适的合金半导体,诸如碳化硅锗、磷化镓砷或磷化镓铟制成。IC structure 100 includes substrate 102. Substrate 102 is a semiconductor substrate. Semiconductor substrate 102 includes silicon. In some other embodiments, substrate 102 includes germanium, silicon germanium, or other suitable semiconductor materials. Alternatively, substrate 102 may be made of some other suitable elemental semiconductors, such as diamond or germanium; suitable compound semiconductors, such as silicon carbide, indium arsenide, or indium phosphide; or suitable alloy semiconductors, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide.

根据各个实施例,衬底102可以包括掩埋层,诸如N型掩埋层(NBL)、P型掩埋层(PBL)和包括埋氧(BOX)层的掩埋介电层。在所公开的实施例中,衬底102包括位于衬底102的深层级处的P型掺杂区域104。p型掺杂剂包括硼、镓、铟、其它合适的p型掺杂剂或它们的组合。因此,P型掺杂区域104也称为深P阱104。在一些实施例中,衬底102可以包括深P阱104下方的BOX层。深P阱104可以通过离子注入来形成,并且BOX层可以通过称为注氧隔离(SIMOX)的方法来形成。According to various embodiments, the substrate 102 may include buried layers, such as an N-type buried layer (NBL), a P-type buried layer (PBL), and a buried dielectric layer including a buried oxide (BOX) layer. In the disclosed embodiments, the substrate 102 includes a P-type doped region 104 located at a deep level of the substrate 102. The p-type dopant includes boron, gallium, indium, other suitable p-type dopants, or a combination thereof. Therefore, the P-type doped region 104 is also referred to as a deep P-well 104. In some embodiments, the substrate 102 may include a BOX layer below the deep P-well 104. The deep P-well 104 may be formed by ion implantation, and the BOX layer may be formed by a method called isolation by implantation of oxygen (SIMOX).

衬底102也包括形成在深P阱104上方的N阱区域(或简称为N阱)106(也称为高电压N阱或HVNW)和P阱区域(或简称为P阱)108。P阱108在顶视图中配置为围绕并且包围N阱106,如图1A中所示。N阱106和P阱108通过合适的方法来形成,诸如具有适当掺杂剂、注入能量和掺杂剂量的离子注入,以实现期望的掺杂类型、掺杂水平、掺杂厚度和掺杂浓度。在如图1A中所示的顶视图中,根据所公开的实施例,P阱108围绕并且包围N阱106。P阱108掺杂有诸如硼的P型掺杂剂,并且N阱106掺杂有诸如磷的N型掺杂剂。在另一实施例中,N阱106和P阱108可以分别通过具有多个处理步骤的任何合适的程序来形成,诸如通过光刻工艺和图案化来形成图案化掩模,通过图案化掩模的开口向衬底102施加离子注入工艺以及之后去除图案化掩模。在所公开的实施例中,N阱106用作将要形成的nFET的漂移区域,并且P阱108提供nFET的沟道122。The substrate 102 also includes an N-well region (or simply N-well) 106 (also referred to as a high voltage N-well or HVNW) and a P-well region (or simply P-well) 108 formed above the deep P-well 104. The P-well 108 is configured to surround and enclose the N-well 106 in a top view, as shown in FIG. 1A. The N-well 106 and the P-well 108 are formed by a suitable method, such as ion implantation with appropriate dopants, implantation energies, and doping doses to achieve the desired doping type, doping level, doping thickness, and doping concentration. In the top view as shown in FIG. 1A, according to the disclosed embodiments, the P-well 108 surrounds and encloses the N-well 106. The P-well 108 is doped with a P-type dopant such as boron, and the N-well 106 is doped with an N-type dopant such as phosphorus. In another embodiment, the N-well 106 and the P-well 108 can be formed by any suitable procedure having multiple processing steps, such as forming a patterned mask by a photolithography process and patterning, applying an ion implantation process to the substrate 102 through the opening of the patterned mask, and then removing the patterned mask. In the disclosed embodiment, the N-well 106 is used as a drift region of the nFET to be formed, and the P-well 108 provides a channel 122 of the nFET.

此外,在N阱106和P阱108之间插入中性区域120,从而使得在顶视图中,中性区域120围绕并且包围N阱106,并且P阱108围绕并且包围中性区域120,如图1A中所示。中性区域120设计为改进IC结构100的性能,尤其是高电压FET的性能,这包括增加击穿电压、减小HVFET在导通状态下的电阻以及减小HVFET在截止状态下的电流。In addition, a neutral region 120 is inserted between the N-well 106 and the P-well 108, such that in the top view, the neutral region 120 surrounds and encloses the N-well 106, and the P-well 108 surrounds and encloses the neutral region 120, as shown in FIG1A. The neutral region 120 is designed to improve the performance of the IC structure 100, especially the performance of the high voltage FET, which includes increasing the breakdown voltage, reducing the resistance of the HVFET in the on state, and reducing the current of the HVFET in the off state.

中性区域120是半导体衬底102的没有掺杂剂的区域。这可以通过合适的方法来实现,诸如重新设计用于形成N阱106和P阱108的光掩模,从而使得中性区域120不被注入。中性区域120包括连续接触N阱106的内边缘和连续接触P阱108的外边缘。中性区域120跨越N阱106和P阱108之间的宽度W。宽度W根据理论分析和实验进行了适当地设计。如上面所指出,所公开的中性区域120带来了益处,诸如增加的击穿电压和减小的漏电流。但是,中性区域120也影响其它因素,诸如增加寄生电容,这进而影响切换行为,并且降低频率响应。因此,中性区域120的设计需要考虑各种因素,以实现期望的性能改进,同时最小化任何潜在的缺点。在所公开的实施例中,宽度W在0.01μm和5μm之间范围内。The neutral region 120 is a region of the semiconductor substrate 102 without dopants. This can be achieved by a suitable method, such as redesigning the photomask used to form the N-well 106 and the P-well 108 so that the neutral region 120 is not implanted. The neutral region 120 includes an inner edge that continuously contacts the N-well 106 and an outer edge that continuously contacts the P-well 108. The neutral region 120 spans the width W between the N-well 106 and the P-well 108. The width W is appropriately designed based on theoretical analysis and experiments. As pointed out above, the disclosed neutral region 120 brings benefits, such as increased breakdown voltage and reduced leakage current. However, the neutral region 120 also affects other factors, such as increasing parasitic capacitance, which in turn affects switching behavior and reduces frequency response. Therefore, the design of the neutral region 120 needs to consider various factors to achieve the desired performance improvement while minimizing any potential disadvantages. In the disclosed embodiment, the width W is in the range between 0.01 μm and 5 μm.

IC结构100也包括形成在衬底102上的隔离结构110,从而限定有源区域112,有源区域112是用于将形成在其上的有源器件(诸如FET)的半导体表面区域。在图1B中所示的IC结构100中,有源区域112是平面的、鳍状的或它们的组合(也称为混合有源区域)。鳍状有源区域是三维(3D)有源区域,以增加沟道和栅极之间的耦合。但是,它并不旨在进行限制。有源区域可以具有任何适当的轮廓,诸如其它合适的3D轮廓。The IC structure 100 also includes an isolation structure 110 formed on the substrate 102, thereby defining an active area 112, which is a semiconductor surface area for an active device (such as a FET) to be formed thereon. In the IC structure 100 shown in FIG. 1B, the active area 112 is planar, fin-shaped, or a combination thereof (also referred to as a hybrid active area). The fin-shaped active area is a three-dimensional (3D) active area to increase the coupling between the channel and the gate. However, it is not intended to be limiting. The active area can have any suitable profile, such as other suitable 3D profiles.

隔离结构110包括一种或多种介电材料,并且在形成在有源区域112上的各个器件之间提供分隔和隔离。隔离结构110可以通过任何合适的方法来形成,并且可以具有任何适当的几何形状,诸如具有不同厚度的阶梯式轮廓,这将在稍后进一步详细描述。在所公开的实施例中,隔离结构110包括形成在衬底102上的浅沟槽隔离(STI)部件(也由标号110表示)。在一些实施例中,STI部件110通过合适的程序来形成,该程序包括图案化以形成沟槽、用介电材料填充沟槽以及抛光以去除过量的介电材料并且平坦化顶面。图案化工艺包括光刻工艺、蚀刻,并且还可以包括形成图案化硬掩模。通过软掩模或硬掩模的开口(其通过由光刻图案化和蚀刻来形成)对衬底102实施一个或多个蚀刻工艺。根据一些实施例,下面进一步描述STI部件110的形成。The isolation structure 110 includes one or more dielectric materials and provides separation and isolation between the various devices formed on the active area 112. The isolation structure 110 can be formed by any suitable method and can have any suitable geometry, such as a stepped profile with different thicknesses, which will be described in further detail later. In the disclosed embodiment, the isolation structure 110 includes a shallow trench isolation (STI) component (also represented by the reference numeral 110) formed on the substrate 102. In some embodiments, the STI component 110 is formed by a suitable procedure, which includes patterning to form a trench, filling the trench with a dielectric material, and polishing to remove excess dielectric material and flatten the top surface. The patterning process includes a photolithography process, etching, and may also include forming a patterned hard mask. One or more etching processes are performed on the substrate 102 through the opening of the soft mask or hard mask (which is formed by patterning and etching by photolithography). According to some embodiments, the formation of the STI component 110 is further described below.

在本实例中,在衬底102上沉积并且通过光刻工艺图案化硬掩模。硬掩模包括介电材料(诸如氧化硅、氮化硅、氮氧化硅)和/或其它合适的材料(诸如金属氧化物)。在实施例中,硬掩模包括氧化硅膜和氮化硅膜。硬掩模可以通过热生长、原子层沉积(ALD)、化学气相沉积(CVD)、高密度等离子体CVD(HDP-CVD)、其它合适的沉积工艺或它们的组合来形成。In the present example, a hard mask is deposited on the substrate 102 and patterned by a photolithography process. The hard mask includes a dielectric material (such as silicon oxide, silicon nitride, silicon oxynitride) and/or other suitable materials (such as metal oxides). In an embodiment, the hard mask includes a silicon oxide film and a silicon nitride film. The hard mask can be formed by thermal growth, atomic layer deposition (ALD), chemical vapor deposition (CVD), high density plasma CVD (HDP-CVD), other suitable deposition processes, or combinations thereof.

可以在硬掩模上形成用于限定隔离结构110的光刻胶层(或抗蚀剂)。抗蚀剂层包括光敏材料,光敏材料使得该层在暴露于光时经历性质变化,诸如紫外(UV)光、深紫外(DUV)光或远紫外(EUV)光。这种性质变化可以用于通过所述的显影工艺选择性去除抗蚀剂层的曝光或未曝光部分。这种形成图案化抗蚀剂层的程序也称为光刻工艺。A photoresist layer (or resist) for defining the isolation structure 110 may be formed on the hard mask. The resist layer includes a photosensitive material that causes the layer to undergo a property change when exposed to light, such as ultraviolet (UV) light, deep ultraviolet (DUV) light, or extreme ultraviolet (EUV) light. This property change can be used to selectively remove exposed or unexposed portions of the resist layer by the development process described. This process of forming a patterned resist layer is also referred to as a photolithography process.

在一个实施例中,图案化抗蚀剂层以通过光刻工艺留下光刻胶材料的设置在衬底102上方的部分。在图案化抗蚀剂之后,对衬底102实施蚀刻工艺以打开硬掩模,从而将图案从抗蚀剂层转移至硬掩模。在图案化硬掩模之后,可以去除剩余的抗蚀剂层。光刻工艺包括旋涂抗蚀剂层、抗蚀剂层的软烘烤、掩模对准、曝光、曝光后烘烤、显影抗蚀剂层、冲洗和干燥(例如,硬烘烤)。可选地,可以通过诸如无掩模光刻、电子束写入和离子束写入的其它合适的方法实现、补充或替换光刻工艺。图案化硬掩模的蚀刻工艺可以包括湿蚀刻、干蚀刻或它们的组合。蚀刻工艺可以包括多个蚀刻步骤。例如,硬掩模中的氧化硅膜可以通过稀释的氢氟溶液来蚀刻,并且硬掩模中的氮化硅膜可以通过磷酸溶液来蚀刻。In one embodiment, the patterned resist layer is to leave the portion of the photoresist material disposed above the substrate 102 by the photolithography process. After the patterned resist, an etching process is performed on the substrate 102 to open the hard mask, so that the pattern is transferred from the resist layer to the hard mask. After the patterned hard mask, the remaining resist layer can be removed. The photolithography process includes spin-coating the resist layer, soft baking of the resist layer, mask alignment, exposure, post-exposure baking, developing the resist layer, rinsing and drying (e.g., hard baking). Alternatively, the photolithography process can be realized, supplemented or replaced by other suitable methods such as maskless lithography, electron beam writing and ion beam writing. The etching process of the patterned hard mask can include wet etching, dry etching or a combination thereof. The etching process can include multiple etching steps. For example, the silicon oxide film in the hard mask can be etched by a diluted hydrofluoric solution, and the silicon nitride film in the hard mask can be etched by a phosphoric acid solution.

然后,随后可以是蚀刻工艺以蚀刻衬底102的未由图案化硬掩模覆盖的部分。图案化硬掩模在蚀刻工艺期间用作蚀刻掩模,以图案化衬底102。蚀刻工艺可以包括任何合适的蚀刻技术,诸如干蚀刻、湿蚀刻和/或其它蚀刻方法(例如,反应离子蚀刻(RIE))。在一些实施例中,蚀刻工艺包括利用不同蚀刻化学物质的多个蚀刻步骤,设计为蚀刻衬底以形成具有特定沟槽轮廓的沟槽,用于改进器件性能和图案密度。在一些实例中,衬底102的半导体材料可以通过使用基于氟的蚀刻剂的干蚀刻工艺来蚀刻。特别地,施加至衬底102的蚀刻工艺控制为使得衬底102被部分蚀刻。这可以通过控制蚀刻时间或者通过控制其它蚀刻参数来实现。在蚀刻工艺之后,有源区域112限定在衬底102上,并且伸出至隔离结构110之上。Then, an etching process may follow to etch portions of the substrate 102 that are not covered by the patterned hard mask. The patterned hard mask is used as an etching mask during the etching process to pattern the substrate 102. The etching process may include any suitable etching technique, such as dry etching, wet etching, and/or other etching methods (e.g., reactive ion etching (RIE)). In some embodiments, the etching process includes multiple etching steps using different etching chemicals, designed to etch the substrate to form a groove with a specific groove profile for improving device performance and pattern density. In some instances, the semiconductor material of the substrate 102 may be etched by a dry etching process using a fluorine-based etchant. In particular, the etching process applied to the substrate 102 is controlled so that the substrate 102 is partially etched. This can be achieved by controlling the etching time or by controlling other etching parameters. After the etching process, the active area 112 is defined on the substrate 102 and extends above the isolation structure 110.

在沟槽中填充一种或多种介电材料以形成STI部件110。填充沟槽的合适介电材料包括半导体氧化物、半导体氮化物、半导体氮氧化物、氟化石英玻璃(FSG)、低K介电材料和/或它们的组合。在各个实施例中,介电材料使用HDP-CVD工艺、次大气压CVD(SACVD)工艺、高高宽比工艺(HARP)、可流动CVD(FCVD)和/或旋涂工艺来沉积。One or more dielectric materials are filled in the trenches to form the STI features 110. Suitable dielectric materials for filling the trenches include semiconductor oxides, semiconductor nitrides, semiconductor oxynitrides, fluorinated quartz glass (FSG), low-K dielectric materials, and/or combinations thereof. In various embodiments, the dielectric material is deposited using a HDP-CVD process, a sub-atmospheric pressure CVD (SACVD) process, a high aspect ratio process (HARP), a flowable CVD (FCVD), and/or a spin coating process.

介电材料的沉积随后可以是化学机械抛光/平坦化(CMP)工艺,以去除过量的介电材料并且平坦化半导体结构的顶面。CMP工艺可以使用硬掩模层作为抛光停止层,以防止抛光半导体衬底102。在一些实施例中,CMP工艺完全去除硬掩模。可选地,硬掩模可以通过蚀刻工艺来去除。但是在进一步实施例中,硬掩模的部分在CMP工艺之后保留。The deposition of the dielectric material may be followed by a chemical mechanical polishing/planarization (CMP) process to remove excess dielectric material and planarize the top surface of the semiconductor structure. The CMP process may use the hard mask layer as a polishing stop layer to prevent polishing of the semiconductor substrate 102. In some embodiments, the CMP process completely removes the hard mask. Alternatively, the hard mask may be removed by an etching process. However, in further embodiments, portions of the hard mask remain after the CMP process.

在一些实施例中,方法还包括通过合适的方法形成鳍有源区域112,诸如回蚀STI结构110,从而使得STI部件110凹进,并且有源区域112伸出至STI部件110之上。回蚀工艺采用一个或多个蚀刻步骤(诸如干蚀刻、湿蚀刻或它们的组合)以选择性回蚀STI部件110。例如,当STI部件110是氧化硅部件时,使用氢氟酸的湿蚀刻工艺可以用于蚀刻。可选地,鳍有源区域112通过外延生长一种或多种半导体材料,从而使得鳍有源区域112伸出至STI部件110之上来形成。In some embodiments, the method further includes forming the fin active region 112 by a suitable method, such as etching back the STI structure 110, so that the STI component 110 is recessed and the active region 112 extends above the STI component 110. The etch-back process uses one or more etching steps (such as dry etching, wet etching, or a combination thereof) to selectively etch back the STI component 110. For example, when the STI component 110 is a silicon oxide component, a wet etching process using hydrofluoric acid can be used for etching. Optionally, the fin active region 112 is formed by epitaxially growing one or more semiconductor materials so that the fin active region 112 extends above the STI component 110.

在一些实施例中,STI部件110包括具有不同厚度的各个区域,并且设计为减小泄漏电流。这将在稍后进一步详细描述,诸如在图2A、图2B、图3A和图3B中。In some embodiments, the STI feature 110 includes various regions with different thicknesses and is designed to reduce leakage current. This will be described in further detail later, such as in FIGS. 2A , 2B , 3A , and 3B .

有源区域112彼此间隔开。有源区域112可以具有沿第一方向(X方向)纵向取向的细长形状。第二方向(Y方向)正交于X方向。X轴和Y轴限定衬底102的顶面。STI部件110包括两个延伸部分,以限定三个有源区域:第一有源区域、第二有源区域和第三有源区域,这在图1A中示出,并且在图2A中更清楚地示出。The active regions 112 are spaced apart from each other. The active regions 112 may have an elongated shape oriented longitudinally along a first direction (X direction). The second direction (Y direction) is orthogonal to the X direction. The X-axis and the Y-axis define the top surface of the substrate 102. The STI feature 110 includes two extensions to define three active regions: a first active region, a second active region, and a third active region, which is shown in FIG. 1A and more clearly shown in FIG. 2A.

具体地,第一有源区域112直接形成在N阱106上,并且设置在N阱106内。第一有源区域沿X方向跨越在STI部件110的两个延伸部分之间。第二有源区域112设置在第一有源区域112的一侧(诸如左侧)上,并且从STI部件110沿X方向在N阱106、中性区域120和P阱108上方延伸。第三有源区域112设置在第一有源区域112的另一侧(诸如右侧)上,并且从STI部件110沿X方向在N阱106、中性区域120和P阱108上方延伸。如上面所指出,有源区域112可以是包括平面有源区域和鳍有源区域的混合有源区域。Specifically, the first active region 112 is formed directly on the N-well 106 and is disposed within the N-well 106. The first active region spans between two extensions of the STI component 110 along the X direction. The second active region 112 is disposed on one side (such as the left side) of the first active region 112 and extends from the STI component 110 along the X direction over the N-well 106, the neutral region 120, and the P-well 108. The third active region 112 is disposed on the other side (such as the right side) of the first active region 112 and extends from the STI component 110 along the X direction over the N-well 106, the neutral region 120, and the P-well 108. As noted above, the active region 112 may be a mixed active region including a planar active region and a fin active region.

在有源区域112上形成一个或多个FET。FET包括源极部件(或简称为源极)114、漏极部件(或简称为漏极)116以及介于源极114和漏极116之间的栅极结构118。源极114和漏极116形成在衬底102中,而栅极结构118形成在衬底102上。在图1A和图1B中所示的公开实施例中,IC结构100包括共享共用漏极116的两个nFET(FET-I和FET-II)。One or more FETs are formed on the active region 112. The FETs include a source component (or simply source) 114, a drain component (or simply drain) 116, and a gate structure 118 between the source 114 and the drain 116. The source 114 and the drain 116 are formed in the substrate 102, and the gate structure 118 is formed on the substrate 102. In the disclosed embodiment shown in FIGS. 1A and 1B , the IC structure 100 includes two nFETs (FET-I and FET-II) that share a common drain 116.

栅极结构118包括栅极堆叠件,该栅极堆叠件还可以包括栅极介电层和设置在栅极介电层上的栅电极。栅极介电层包括一种或多种介电材料,诸如氧化硅、高k介电材料、其它合适的介电材料或它们的组合。在一些实施例中,栅极介电层包括一种或多种高k介电材料,并且还可以包括介于沟道和高k介电材料之间的界面层(诸如氧化硅)。高k介电材料可以包括金属氧化物、金属氮化物,诸如LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba、Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物(SiON)或其它合适的高k介电材料。界面层可以包括氧化硅、氮化硅、氮氧化硅和/或其它合适的材料。界面层可以通过合适的方法来形成,诸如原子层沉积(ALD)、CVD、臭氧氧化等。高k介电层通过合适的技术沉积在界面层(如果界面层存在)上,诸如ALD、CVD、金属有机CVD(MOCVD)、PVD、热氧化、它们的组合和/或其它合适的技术,。The gate structure 118 includes a gate stack, which may further include a gate dielectric layer and a gate electrode disposed on the gate dielectric layer. The gate dielectric layer includes one or more dielectric materials, such as silicon oxide, a high-k dielectric material, other suitable dielectric materials, or a combination thereof. In some embodiments, the gate dielectric layer includes one or more high-k dielectric materials, and may further include an interface layer (such as silicon oxide) between the channel and the high-k dielectric material. The high-k dielectric material may include a metal oxide, a metal nitride, such as LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba, Sr)TiO 3 (BST), Al 2 O 3 , Si 3 N 4 , oxynitride (SiON) or other suitable high-k dielectric materials. The interfacial layer may include silicon oxide, silicon nitride, silicon oxynitride, and/or other suitable materials. The interfacial layer may be formed by a suitable method, such as atomic layer deposition (ALD), CVD, ozone oxidation, etc. The high-k dielectric layer is deposited on the interfacial layer (if the interfacial layer exists) by a suitable technique, such as ALD, CVD, metal organic CVD (MOCVD), PVD, thermal oxidation, combinations thereof, and/or other suitable techniques.

栅电极包括一种或多种导电材料,诸如掺杂多晶硅、金属或金属合金。栅电极中的金属包括铝、铜、钨、钌、钴、镍、金属硅化物、其它合适的含金属导电材料或它们的组合。在一些实施例中,栅电极可以包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、Cu、W、任何合适的材料或它们的组合。The gate electrode includes one or more conductive materials, such as doped polysilicon, metals, or metal alloys. The metal in the gate electrode includes aluminum, copper, tungsten, ruthenium, cobalt, nickel, metal silicide, other suitable metal-containing conductive materials, or combinations thereof. In some embodiments, the gate electrode may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, Cu, W, any suitable material, or combinations thereof.

在一些实施例中,栅电极包括其它材料,诸如功函金属,其用于减小对应的FET的阈值电压。栅电极中使用的功函金属与n型FET(nFET)和p型FET(pFET)不同,并且因此可以单独形成。功函(WF)金属层包括具有适当功函的金属或金属合金的导电层,从而使得对应的FET因其器件性能而得到增强。对于pFET和nFET,功函金属层是不同的,分别称为n型WF金属和p型WF金属。WF金属的选择取决于将要形成在有源区域上的FET。例如,n型WF金属和p型WF金属分别形成在对应的栅极堆叠件中。特别地,n型WF金属包括具有第一功函的金属,从而使得相关nFET的阈值电压减小。n型WF金属接近硅导带能(Ec)或更低的功函,呈现更容易的电子逃逸。例如,n型WF金属具有约4.2eV或更小的功函。p型WF金属包括具有第二功函的金属,从而使得相关pFET的阈值电压减小。p型WF金属接近硅价带能(Ev)或更高的功函,对原子核呈现强的电子结合能。例如,p型功函金属具有约5.2eV或更高的WF。在一些实施例中,n型WF金属包括钽(Ta)。在其它实施例中,n型WF金属包括钛铝(TiAl)、氮化钛铝(TiAlN)或它们的组合。在其它实施例中,n型WF金属包括Ta、TiAl、TiAlN、氮化钨(WN)或它们的组合。n型WF金属可以包括各个基于金属的膜作为堆叠件,用于优化器件性能和处理集成。在一些实施例中,p型WF金属包括氮化钛(TiN)或氮化钽(TaN)。在其它实施例中,p金属包括TiN、TaN、氮化钨(WN)、钛铝(TiAl)或它们的组合。p型WF金属可以包括各个基于金属的膜作为堆叠件,用于优化器件性能和处理集成。功函金属通过合适的技术来沉积,诸如物理气相沉积(PVD)或ALD。In some embodiments, the gate electrode includes other materials, such as work function metals, which are used to reduce the threshold voltage of the corresponding FET. The work function metal used in the gate electrode is different from that of n-type FET (nFET) and p-type FET (pFET), and can therefore be formed separately. The work function (WF) metal layer includes a conductive layer of a metal or metal alloy having an appropriate work function, so that the corresponding FET is enhanced by its device performance. For pFET and nFET, the work function metal layer is different, respectively referred to as n-type WF metal and p-type WF metal. The selection of WF metal depends on the FET to be formed on the active area. For example, n-type WF metal and p-type WF metal are formed in corresponding gate stacks, respectively. In particular, n-type WF metal includes a metal having a first work function, so that the threshold voltage of the relevant nFET is reduced. The n-type WF metal is close to the work function of the silicon conduction band energy (Ec) or lower, presenting easier electron escape. For example, the n-type WF metal has a work function of about 4.2eV or less. The p-type WF metal includes a metal having a second work function, so that the threshold voltage of the relevant pFET is reduced. The p-type WF metal has a work function close to the silicon valence band energy (Ev) or higher, and presents a strong electron binding energy to the nucleus. For example, the p-type work function metal has a WF of about 5.2eV or higher. In some embodiments, the n-type WF metal includes tantalum (Ta). In other embodiments, the n-type WF metal includes titanium aluminum (TiAl), titanium aluminum nitride (TiAlN), or a combination thereof. In other embodiments, the n-type WF metal includes Ta, TiAl, TiAlN, tungsten nitride (WN), or a combination thereof. The n-type WF metal may include various metal-based films as stacked parts for optimizing device performance and processing integration. In some embodiments, the p-type WF metal includes titanium nitride (TiN) or tantalum nitride (TaN). In other embodiments, the p-metal includes TiN, TaN, tungsten nitride (WN), titanium aluminum (TiAl), or a combination thereof. The p-type WF metal may include various metal-based films as stacked parts for optimizing device performance and processing integration. The work function metal is deposited by a suitable technique, such as physical vapor deposition (PVD) or ALD.

栅极结构118还可以包括形成在栅电极的侧壁上的栅极侧壁部件(或栅极间隔件)。栅极间隔件提供栅电极和源极/漏极部件之间的隔离,并且可以用于偏移随后形成的源极/漏极部件,并且可以用于设计或修改源极/漏极结构轮廓。栅极间隔件可以包括任何合适的介电材料,诸如半导体氧化物、半导体氮化物、半导体碳化物、半导体氮氧化物、其它合适的介电材料和/或它们的组合。栅极间隔件可以具有多个膜,诸如两个膜(氧化硅膜和氮化硅膜)或三个膜(氧化硅膜;氮化硅膜;以及氧化硅膜)。栅极间隔件的形成包括沉积和各向异性蚀刻,诸如干蚀刻。The gate structure 118 may also include a gate sidewall component (or gate spacer) formed on the sidewall of the gate electrode. The gate spacer provides isolation between the gate electrode and the source/drain component, and can be used to offset the subsequently formed source/drain component, and can be used to design or modify the source/drain structure profile. The gate spacer may include any suitable dielectric material, such as semiconductor oxides, semiconductor nitrides, semiconductor carbides, semiconductor oxynitrides, other suitable dielectric materials and/or combinations thereof. The gate spacer may have multiple films, such as two films (silicon oxide film and silicon nitride film) or three films (silicon oxide film; silicon nitride film; and silicon oxide film). The formation of the gate spacer includes deposition and anisotropic etching, such as dry etching.

栅极结构118的形成包括沉积各种栅极材料以及使用包括光刻工艺和蚀刻的程序图案化沉积的栅极材料。在一些实施例中,栅极结构118可以通过栅极替换程序来形成,在栅极替换程序中,形成并且在稍后阶段替换伪栅极结构,诸如在形成源极114和漏极116之后,以避免热处理对栅极结构118的不期望的影响。The formation of the gate structure 118 includes depositing various gate materials and patterning the deposited gate materials using a process including photolithography and etching. In some embodiments, the gate structure 118 can be formed by a gate replacement process, in which a dummy gate structure is formed and replaced at a later stage, such as after forming the source 114 and the drain 116, to avoid undesirable effects of thermal processing on the gate structure 118.

在一些实施例中,使栅极结构118分段以具有多个段,用于各种制造益处,诸如调整图案密度以及改进处理(诸如CMP)均匀性。在所公开的实施例中,用于第一nFET(FET-I)的栅极结构118包括:第一段,介于漏极116和STI部件110之间;以及第二段,介于源极114和STI部件110之间。在进一步实施例中,栅极结构118的第一段是为了制造的益处而形成的,并且是浮置的,这意味着它不配置为是偏置的,并且不用作第一nFET的栅极。栅极结构118的第二段配置为连接至电源信号线,使得它偏置为第一nFET的功能栅极。由于栅极结构118的第一段和第二段的不同功能,第一段和第二段可以设计为具有不同的尺寸。例如,第二段沿X方向可以具有大于第一段的尺寸的尺寸。具体地,栅极结构118的第二段接合在P阱108、中性区域120和N阱106上。栅极结构118的第二段电容耦合至P阱108,从而控制第一nFET的沟道122。沟道122是P阱108的位于栅极结构118的第二段下面的部分。第二nFET(FET-II)在布局和配置方面类似于第一nFET。例如,第二nFET的栅极结构也包括两个段,一个段是浮置的,并且设置在漏极116和STI部件110之间;并且另一段是偏置的并且设置在漏极116和源极114之间。In some embodiments, the gate structure 118 is segmented to have multiple segments for various manufacturing benefits, such as adjusting pattern density and improving process (such as CMP) uniformity. In the disclosed embodiment, the gate structure 118 for the first nFET (FET-I) includes: a first segment, between the drain 116 and the STI feature 110; and a second segment, between the source 114 and the STI feature 110. In a further embodiment, the first segment of the gate structure 118 is formed for manufacturing benefits and is floating, which means that it is not configured to be biased and does not serve as the gate of the first nFET. The second segment of the gate structure 118 is configured to be connected to a power signal line so that it is biased as a functional gate of the first nFET. Due to the different functions of the first segment and the second segment of the gate structure 118, the first segment and the second segment can be designed to have different sizes. For example, the second segment can have a size along the X direction that is larger than the size of the first segment. Specifically, the second segment of the gate structure 118 is bonded to the P-well 108, the neutral region 120, and the N-well 106. The second segment of the gate structure 118 is capacitively coupled to the P-well 108, thereby controlling the channel 122 of the first nFET. The channel 122 is the portion of the P-well 108 that is located below the second segment of the gate structure 118. The second nFET (FET-II) is similar to the first nFET in terms of layout and configuration. For example, the gate structure of the second nFET also includes two segments, one segment is floating and is disposed between the drain 116 and the STI feature 110; and the other segment is biased and is disposed between the drain 116 and the source 114.

源极114和漏极116是掺杂有适当掺杂剂的半导体部件。例如,在图1A和图1B中所示的实施例中,形成nFET,并且源极114和漏极116掺杂有N型掺杂剂,诸如磷。这仅仅是说明性的,并不旨在进行限制。应该理解,可选地或额外地形成一个或多个pFET。对于pFET,源极114和漏极116掺杂有p型掺杂剂。此外,掺杂阱106和108相应地交换为P型阱和N型阱。The source 114 and the drain 116 are semiconductor components doped with appropriate dopants. For example, in the embodiment shown in FIGS. 1A and 1B , an nFET is formed, and the source 114 and the drain 116 are doped with an N-type dopant, such as phosphorus. This is merely illustrative and is not intended to be limiting. It should be understood that one or more pFETs may be formed alternatively or additionally. For a pFET, the source 114 and the drain 116 are doped with a p-type dopant. In addition, the doped wells 106 and 108 are exchanged for a P-type well and an N-type well, respectively.

在一些实施例中,源极114和漏极116通过扩散或离子注入来形成。在一些实施例中,源极114和漏极116通过程序来形成,该程序包括:蚀刻衬底102以在S/D区域中形成源极/漏极(S/D)凹槽;以及外延生长一种或多种半导体材料(诸如硅或硅锗)以实现具有增强的载流子迁移率的应变效应。在这种情况下,掺杂剂可以在外延生长期间引入至源极114和漏极116中。在一些实施例中,随后可以是热退火工艺以激活源极114和漏极116。In some embodiments, the source 114 and drain 116 are formed by diffusion or ion implantation. In some embodiments, the source 114 and drain 116 are formed by a process that includes: etching the substrate 102 to form source/drain (S/D) grooves in the S/D region; and epitaxially growing one or more semiconductor materials (such as silicon or silicon germanium) to achieve a strain effect with enhanced carrier mobility. In this case, dopants can be introduced into the source 114 and drain 116 during epitaxial growth. In some embodiments, a thermal annealing process may follow to activate the source 114 and drain 116.

在图1A和图1B中所示的所描述实施例中,IC结构100包括与共用漏极116并排设置的两个nFET。如图1B中所示,左侧的源极114、漏极116和栅极结构118的位于漏极116的左侧的部分(诸如第二段)构成第一nFET(FET-I);并且右侧的源极114、漏极116和栅极结构118的位于漏极116的右侧的部分构成第二nFET(FET-II)。第一nFET和第二nFET共享共用漏极116。具体地,共用漏极116形成在N阱106中,而源极114形成在P阱108中。In the described embodiment shown in FIGS. 1A and 1B , the IC structure 100 includes two nFETs arranged side by side with a common drain 116. As shown in FIG. 1B , the source 114, the drain 116, and the portion of the gate structure 118 located to the left of the drain 116 (such as the second segment) on the left constitute a first nFET (FET-I); and the source 114, the drain 116, and the portion of the gate structure 118 located to the right of the drain 116 on the right constitute a second nFET (FET-II). The first nFET and the second nFET share the common drain 116. Specifically, the common drain 116 is formed in the N-well 106, and the source 114 is formed in the P-well 108.

特别地,IC结构100设计为具有各个部件以增强电路性能,如下面参考图1A和图1B以及其它附图进一步描述的。IC结构100包括围绕并且包围N阱106的中性区域120。这可以在不需要额外的处理成本和离子注入的情况下通过N阱106和P阱108的布局来实现。例如,限定N阱106的光掩模和限定P阱108的光掩模设计为具有从而使得当它们在离子注入期间使用光掩模通过离子注入形成在衬底102上时的形状和尺寸。中性区域120的宽度W取决于其它器件尺寸,并且设计为增强IC结构100的性能。在所公开的实施例中,中性区域120的宽度W在0.01μm和5μm之间范围内。In particular, the IC structure 100 is designed with various components to enhance circuit performance, as further described below with reference to FIGS. 1A and 1B and other figures. The IC structure 100 includes a neutral region 120 surrounding and enclosing the N-well 106. This can be achieved by the layout of the N-well 106 and the P-well 108 without the need for additional processing costs and ion implantation. For example, a photomask defining the N-well 106 and a photomask defining the P-well 108 are designed to have a shape and size such that when they are formed on the substrate 102 by ion implantation using a photomask during ion implantation. The width W of the neutral region 120 depends on other device dimensions and is designed to enhance the performance of the IC structure 100. In the disclosed embodiment, the width W of the neutral region 120 is in a range between 0.01 μm and 5 μm.

N阱106用作漂移区域,漂移区域是负责控制器件中的电压的区域。在FET中,漂移区域是源极和漏极之间的区域,在该区域中电场足够高,以使电子向漏极漂移。漂移区域通常由具有低杂质浓度的轻掺杂材料制成。在高电压FET中,漂移区域设计为处理高电压并且最小化电场强度,从而防止击穿。The N-well 106 serves as a drift region, which is a region responsible for controlling the voltage in the device. In a FET, the drift region is the region between the source and drain where the electric field is high enough to cause electrons to drift toward the drain. The drift region is typically made of a lightly doped material with a low impurity concentration. In a high voltage FET, the drift region is designed to handle high voltages and minimize the electric field strength, thereby preventing breakdown.

当FET导通时,P阱108的位于对应栅极结构118下面的部分用作用于电流从源极114流向漏极116的沟道122。When the FET is turned on, the portion of the P-well 108 underlying the corresponding gate structure 118 serves as a channel 122 for current to flow from the source 114 to the drain 116 .

IC结构100包括形成在设计用于高电压应用的位于N阱106中的STI部件110。在一些实施例中,N阱106中的STI部件110设计为具有包围漏极116的环。IC structure 100 includes STI features 110 formed in N-well 106 designed for high voltage applications. In some embodiments, STI features 110 in N-well 106 are designed to have a ring surrounding drain 116.

栅极结构118设计为具有多个段的分段结构。栅极结构118的用于一个FET的那些段电偏置至同一电源线,以控制对应的FET。在一些实施例中,栅极结构118的那些段沿Y方向纵向取向。例如,第一nFET(FET-I)中的栅极结构118包括设置在漏极116和STI部件110之间的第一段以及设置在源极114和STI部件110之间的第二段。栅极结构118的第一段和第二段由STI部件110介于其间。在所公开的实例中,第一nFET(FET-I)中的栅极结构118具有类似的分段结构。第二nFET(FET-II)中的栅极结构118包括设置在漏极116和STI部件110之间的第三段以及设置在对应的源极114和STI部件110之间的第四段。第二nFET中的栅极结构118的两个段由STI部件110介于其间。The gate structure 118 is designed as a segmented structure with multiple segments. The segments of the gate structure 118 for one FET are electrically biased to the same power line to control the corresponding FET. In some embodiments, the segments of the gate structure 118 are longitudinally oriented along the Y direction. For example, the gate structure 118 in the first nFET (FET-I) includes a first segment disposed between the drain 116 and the STI component 110 and a second segment disposed between the source 114 and the STI component 110. The first segment and the second segment of the gate structure 118 are sandwiched by the STI component 110. In the disclosed example, the gate structure 118 in the first nFET (FET-I) has a similar segmented structure. The gate structure 118 in the second nFET (FET-II) includes a third segment disposed between the drain 116 and the STI component 110 and a fourth segment disposed between the corresponding source 114 and the STI component 110. The two segments of the gate structure 118 in the second nFET are sandwiched by the STI component 110.

所公开的IC结构100设计为有效地分布电场并且优化参数和增强性能,诸如增加的击穿电压、减小的导通状态沟道电阻和减小的截止状态沟道电流。此外,STI部件110包括具有不同厚度的不同部分的阶梯式结构;并且有源区域112设计为具有包括鳍有源区域和平面有源区域的混合结构,这将在下面参考其它附图进一步描述。The disclosed IC structure 100 is designed to effectively distribute the electric field and optimize parameters and enhance performance, such as increased breakdown voltage, reduced on-state channel resistance, and reduced off-state channel current. In addition, the STI feature 110 includes a stepped structure with different portions having different thicknesses; and the active region 112 is designed to have a hybrid structure including a fin active region and a planar active region, which will be further described below with reference to other figures.

图2A是具有一个或多个高电压FET的IC结构130的顶视图,并且图2B是根据各个实施例构造的IC结构130沿图2A的虚线AA’截取的部分截面图。图3A是IC结构130的顶视图,并且图3B是根据各个实施例构造的IC结构130沿图3A的虚线AA’截取的部分截面图。特别地,为了简单,图2A和图2B仅示出了STI部件110和有源区域112。为了简单,栅极结构在图3B中没有显示。FIG2A is a top view of an IC structure 130 having one or more high voltage FETs, and FIG2B is a partial cross-sectional view of the IC structure 130 constructed according to various embodiments, taken along the dashed line AA' of FIG2A. FIG3A is a top view of the IC structure 130, and FIG3B is a partial cross-sectional view of the IC structure 130 constructed according to various embodiments, taken along the dashed line AA' of FIG3A. In particular, for simplicity, FIG2A and FIG2B only show the STI features 110 and the active region 112. For simplicity, the gate structure is not shown in FIG3B.

在所公开的实施例中,提供具有一个或多个n型FET(nFET)的n型FET结构作为实例进行说明。但是,它并不旨在进行限制,IC结构130可以额外地或可选地包括具有一个或多个p型FET的p型FET结构。IC结构130类似于图1A和图1B中的IC结构100。为了简单,类似的组件和特性不再重复。但是,不同地设计IC结构130中的STI部件110。具体地,STI部件110是非平面的,并且包括设计制造为防止泄漏的阶梯式轮廓。如图2B中所示,围绕有源区域的STI部件110包括具有不同高度的三个区域:第一厚度H1的第一区域110A、具有变化高度的第二区域(也称为过渡区域)110B以及具有高度H1+H2的第三区域110C。过渡区域110B中的STI部件110从高度H1逐渐增加至高度H1+H2。H2在0.01μm和5μm之间范围内。参数H1针对其有效性进行了优化。超出范围(大于或小于),不是代价高昂,就是效率低下。第一区域110A跨越尺寸L1,第二区域110B跨越尺寸L2,并且第三区域110C沿Y方向跨越尺寸L3。根据一些实施例,尺寸L2+L3在0.01μm和5μm之间范围内。In the disclosed embodiment, an n-type FET structure having one or more n-type FETs (nFETs) is provided as an example for illustration. However, it is not intended to be limiting, and the IC structure 130 may additionally or optionally include a p-type FET structure having one or more p-type FETs. The IC structure 130 is similar to the IC structure 100 in FIGS. 1A and 1B. For simplicity, similar components and features are not repeated. However, the STI component 110 in the IC structure 130 is designed differently. Specifically, the STI component 110 is non-planar and includes a stepped profile designed to prevent leakage. As shown in FIG. 2B, the STI component 110 surrounding the active area includes three regions with different heights: a first region 110A with a first thickness H1, a second region (also referred to as a transition region) 110B with a varying height, and a third region 110C with a height H1+H2. The STI component 110 in the transition region 110B gradually increases from a height H1 to a height H1+H2. H2 is in the range between 0.01 μm and 5 μm. Parameter H1 is optimized for its effectiveness. Exceeding the range (larger or smaller) is either costly or inefficient. The first region 110A spans dimension L1, the second region 110B spans dimension L2, and the third region 110C spans dimension L3 along the Y direction. According to some embodiments, dimension L2+L3 is in the range between 0.01 μm and 5 μm.

进一步参考图3A和图3B,STI部件110的过渡区域110B与N阱106对准,从而使得过渡区域110B接触并且包围N阱106。根据一些实施例,栅极结构118和过渡区域110B之间的距离D沿Y方向在0.01μm和5μm之间范围内。3A and 3B , transition region 110B of STI feature 110 is aligned with Nwell 106 such that transition region 110B contacts and surrounds Nwell 106. According to some embodiments, a distance D between gate structure 118 and transition region 110B ranges between 0.01 μm and 5 μm along the Y direction.

图4A是具有一个或多个高电压FET的IC结构150的顶视图,并且图4B是根据各个实施例构造的IC结构150的部分顶视图。图4C是IC结构150沿图4A(或图4B)的虚线AA’截取的部分截面图;并且图4D是根据各个实施例构造的IC结构150沿图4A(或图4B)的虚线BB’截取的部分截面图。具体地,为了简单,图4B、图4C和图4D仅示出了STI部件110和有源区域112。FIG4A is a top view of an IC structure 150 having one or more high voltage FETs, and FIG4B is a partial top view of an IC structure 150 constructed according to various embodiments. FIG4C is a partial cross-sectional view of the IC structure 150 taken along the dashed line AA' of FIG4A (or FIG4B); and FIG4D is a partial cross-sectional view of the IC structure 150 constructed according to various embodiments taken along the dashed line BB' of FIG4A (or FIG4B). Specifically, for simplicity, FIG4B, FIG4C, and FIG4D only show the STI features 110 and the active regions 112.

IC结构150包括n型FET结构,具有一个或多个nFET,诸如共享共用漏极的两个nFET。IC结构150在结构方面类似于IC结构130。为了简单,类似的组件和特性不再重复。例如,STI部件110包括具有不同厚度的不同区域的阶梯式轮廓。但是,IC结构150包括具有平面有源区域和鳍有源区域的有源区域112。平面有源区域是具有顶部平面表面的有源区域,而鳍有源区域是有源区域簇,每个具有共同有助于对应栅极和沟道之间的耦合的侧面和顶面。IC structure 150 includes an n-type FET structure having one or more nFETs, such as two nFETs sharing a common drain. IC structure 150 is similar to IC structure 130 in structure. For simplicity, similar components and features are not repeated. For example, STI feature 110 includes a stepped profile with different regions of different thicknesses. However, IC structure 150 includes an active area 112 having a planar active area and a fin active area. A planar active area is an active area having a top planar surface, while a fin active area is a cluster of active areas, each having side and top surfaces that collectively contribute to coupling between a corresponding gate and a channel.

如图4B中所示,IC结构150包括三个有源区域112,左有源区域、中心有源区域和右有源区域。在所公开的实施例中,那些有源区域112具有混合结构,该混合结构还包括平面有源区域112P和鳍有源区域112F,如图4C和图4D中所示。具体地,如图4D中所示,沿X方向,中心有源区域112包括介于STI部件110的两个部分之间的第一平面有源区域112P。左有源区域112包括设置在第一nFET中的STI部件110的侧上的第二平面有源区域112P以及设置在第一nFET中的第二平面有源区域112P的侧上的第一鳍有源区域112F。右有源区域112包括设置在第二nFET中的STI部件110的侧上的第三平面有源区域112P以及设置在第二nFET中的第三平面有源区域112P的侧上的第二鳍有源区域112F。换句话说,左有源区域112和右有源区域112的每个都是混合的。As shown in FIG. 4B , the IC structure 150 includes three active regions 112, a left active region, a center active region, and a right active region. In the disclosed embodiment, those active regions 112 have a hybrid structure that also includes a planar active region 112P and a fin active region 112F, as shown in FIG. 4C and FIG. 4D . Specifically, as shown in FIG. 4D , along the X direction, the center active region 112 includes a first planar active region 112P between two portions of the STI component 110. The left active region 112 includes a second planar active region 112P disposed on the side of the STI component 110 in the first nFET and a first fin active region 112F disposed on the side of the second planar active region 112P in the first nFET. The right active region 112 includes a third planar active region 112P disposed on the side of the STI component 110 in the second nFET and a second fin active region 112F disposed on the side of the third planar active region 112P in the second nFET. In other words, each of the left active region 112 and the right active region 112 is mixed.

如图4C中所示,沿Y方向,有源区域112包括介于STI部件110的两个部分之间的平面有源区域112P,鳍有源区域112F设置在P阱108之外的区域(图4A中未显示)上。As shown in FIG. 4C , along the Y direction, the active region 112 includes a planar active region 112P between two portions of the STI feature 110 , and a fin active region 112F is disposed on a region outside the P-well 108 (not shown in FIG. 4A ).

共用漏极116形成在第一平面有源区域112P中。第一nFET的源极114形成在第一鳍有源区域112F上。第二nFET的源极114形成在第二鳍有源区域112F上。用于每个nFET的栅极结构118(具体地,作为功能栅极的第二段)部分形成在鳍有源区域112F上,并且部分形成在平面有源区域112P上,这将在下面参考图5A、图5B和图6A至图6G进一步详细描述。A common drain 116 is formed in the first planar active region 112P. A source 114 of the first nFET is formed on the first fin active region 112F. A source 114 of the second nFET is formed on the second fin active region 112F. A gate structure 118 for each nFET (specifically, a second segment as a functional gate) is partially formed on the fin active region 112F and partially formed on the planar active region 112P, which will be described in further detail below with reference to FIGS. 5A , 5B, and 6A to 6G.

图5A是IC结构150的部分顶视图;图5B是IC结构150的部分顶视图;并且图6A至图6G是根据各个实施例构造的IC结构150的部分顶视图。具体地,为了简单,图5A仅示出了STI部件110和有源区域112;图5B仅示出了STI部件110、有源区域112、源极114、漏极116和栅极118;并且图6A至图6G示出了有源区域112、源极114、漏极116和栅极结构118的在图5B的虚线框160中的部分。5A is a partial top view of an IC structure 150; FIG. 5B is a partial top view of an IC structure 150; and FIG. 6A to FIG. 6G are partial top views of an IC structure 150 constructed according to various embodiments. Specifically, for simplicity, FIG. 5A shows only the STI feature 110 and the active region 112; FIG. 5B shows only the STI feature 110, the active region 112, the source 114, the drain 116, and the gate 118; and FIG. 6A to FIG. 6G show the portion of the active region 112, the source 114, the drain 116, and the gate structure 118 within the dashed box 160 of FIG. 5B.

图5A类似于图4B,示出了有源区域112。但是,在图5A中,进一步示出了有源区域112的平面有源区域112P和鳍有源区域112F。具体地,鳍有源区域112F和平面有源区域112P具有界面152,界面152在顶视图中可以不是直线。5A is similar to FIG. 4B and shows an active region 112. However, FIG. 5A further shows a planar active region 112P and a fin active region 112F of the active region 112. Specifically, the fin active region 112F and the planar active region 112P have an interface 152, which may not be a straight line in the top view.

图5B类似于图5A,但是进一步示出了源极114、漏极116和栅极结构118。可以看出,共用漏极116形成在平面有源区域112P上,源极114形成在鳍有源区域112F上,并且栅极结构118形成在鳍有源区域112F和平面有源区域112P上方。在图5B中,栅极结构118由虚线的透明框示出,从而可以看到下面的平面有源区域和鳍有源区域。应该指出,栅极结构118的位于中心有源区域112上方的段是浮置的,并且为了简单,未在图5B中显示。FIG5B is similar to FIG5A , but further illustrates a source 114, a drain 116, and a gate structure 118. It can be seen that a common drain 116 is formed on the planar active region 112P, a source 114 is formed on the fin active region 112F, and a gate structure 118 is formed over the fin active region 112F and the planar active region 112P. In FIG5B , the gate structure 118 is illustrated by a transparent box of dashed lines so that the planar active region and the fin active region below can be seen. It should be noted that the segment of the gate structure 118 located above the central active region 112 is floating and is not shown in FIG5B for simplicity.

此外,平面有源区域112P和鳍有源区域112F之间的界面152与栅极结构118重叠,并且在顶视图中可以是任何适当的几何形状,这根据各个实施例在图6A至图6G中进一步示出。Furthermore, the interface 152 between the planar active region 112P and the fin active region 112F overlaps the gate structure 118 and may be any suitable geometry in top view, which is further illustrated in FIGS. 6A to 6G according to various embodiments.

在图6A中,在顶视图中示出有源区域112。漏极116形成在平面有源区域112P上;源极114形成在鳍有源区域112F上;并且栅极结构118部分形成在鳍有源区域112F上,并且部分形成在平面有源区域112P上。平面有源区域112P和鳍有源区域112F之间的界面152与栅极结构118重叠,并且在顶视图中是直线。在图6B中,界面152在顶视图中是曲线。界面152可以具有任何适当的几何形状,诸如图6C至图6G中所示的那些。通过调整平面有源区域112P和鳍有源区域112F之间的界面152的几何形状,高电压FET进一步调整为具有增强的性能,包括增加的击穿电压和减小的泄漏电流。In FIG. 6A , the active region 112 is shown in a top view. The drain 116 is formed on the planar active region 112P; the source 114 is formed on the fin active region 112F; and the gate structure 118 is partially formed on the fin active region 112F and partially formed on the planar active region 112P. The interface 152 between the planar active region 112P and the fin active region 112F overlaps the gate structure 118 and is a straight line in the top view. In FIG. 6B , the interface 152 is a curve in the top view. The interface 152 can have any suitable geometry, such as those shown in FIGS. 6C to 6G . By adjusting the geometry of the interface 152 between the planar active region 112P and the fin active region 112F, the high voltage FET is further adjusted to have enhanced performance, including increased breakdown voltage and reduced leakage current.

图7是根据一些实施例制造具有一个或多个高电压FET的IC结构100的方法200的流程图。方法200包括操作202,通过在衬底102上形成各个掺杂阱,诸如p型掺杂阱104、N阱106和p阱108。各个掺杂阱通过合适的方法来形成,诸如离子注入、扩散、其它合适的方法或它们的组合。在所公开的实施例中,p型掺杂阱104使用离子注入和光刻工艺形成在深层级处。在进一步实施例中,通过程序形成硬掩模,该程序包括:形成注入掩模层(诸如氧化硅);以及实施光刻工艺和蚀刻以图案化具有开口的掩模层,该开口限定用于p型掺杂阱104的区域。实施离子注入以引入p型掺杂剂,诸如硼,以形成p型掺杂阱104。7 is a flow chart of a method 200 for fabricating an IC structure 100 having one or more high voltage FETs according to some embodiments. The method 200 includes an operation 202 by forming various doped wells, such as a p-type doped well 104, an N-well 106, and a p-well 108, on a substrate 102. The various doped wells are formed by a suitable method, such as ion implantation, diffusion, other suitable methods, or a combination thereof. In the disclosed embodiment, the p-type doped well 104 is formed at a deep level using ion implantation and photolithography processes. In a further embodiment, a hard mask is formed by a procedure including: forming an implantation mask layer (such as silicon oxide); and performing a photolithography process and etching to pattern the mask layer with an opening that defines an area for the p-type doped well 104. Ion implantation is performed to introduce a p-type dopant, such as boron, to form the p-type doped well 104.

类似地形成n阱106和P阱108。但是,注入深度小于P型掺杂阱104,使得n阱106和P阱108形成在P型掺杂阱104之上。此外,N阱106和P阱108限定为使得P阱108围绕N阱106的间隙限定没有掺杂的中性区域120。The n-well 106 and the p-well 108 are similarly formed. However, the implantation depth is less than the p-type doped well 104, so that the n-well 106 and the p-well 108 are formed above the p-type doped well 104. In addition, the n-well 106 and the p-well 108 are defined such that the gap where the p-well 108 surrounds the n-well 106 defines a neutral region 120 that is not doped.

方法200包括操作204,通过在衬底102上形成有源区域112,并且围绕有源区域112的隔离结构110将有源区域112彼此分隔开。衬底102是半导体衬底。在一些实施例中,衬底102是硅衬底或者其它合适的半导体衬底。在一些实施例中,隔离结构110是浅沟槽隔离(STI)结构。在各个实施例中,有源区域112包括平面有源区域、鳍有源区域、其它合适的有源区域(诸如具有垂直堆叠的多个沟道的有源区域,诸如全环栅结构)或它们的组合。在所公开的实施例中,有源区域包括平面有源区域112P和鳍有源区域112F。在一些实施例中,形成STI结构110以及有源区域112P和112F的方法包括:光刻工艺和蚀刻以图案化衬底,以形成鳍有源区域112F和沟槽;沉积一种或多种介电材料以填充在沟槽中;以及实施化学机械抛光(CMP)以平坦化顶面。方法还可以包括蚀刻以使填充的介电材料凹进,以形成STI结构110。在一些实施例中,蚀刻工艺以使仅施加至鳍有源区域112F的填充的介电材料凹进,诸如通过包括形成覆盖平面有源区域112P的掩模层的程序;以及蚀刻以使鳍有源区域112F内的介电材料凹进。特别地,平面有源区域112P和鳍有源区域112F之间的界面与栅极结构118重叠,并且在顶视图中可以是直线,如图6A中所示,或者可选地在顶视图中是曲线,诸如图6C至图6G中所示的那些。The method 200 includes an operation 204, by forming active regions 112 on a substrate 102, and isolating structures 110 surrounding the active regions 112 to separate the active regions 112 from each other. The substrate 102 is a semiconductor substrate. In some embodiments, the substrate 102 is a silicon substrate or other suitable semiconductor substrate. In some embodiments, the isolation structure 110 is a shallow trench isolation (STI) structure. In various embodiments, the active region 112 includes a planar active region, a fin active region, other suitable active regions (such as an active region with multiple channels stacked vertically, such as a full-all-around gate structure), or a combination thereof. In the disclosed embodiment, the active region includes a planar active region 112P and a fin active region 112F. In some embodiments, the method of forming the STI structure 110 and the active regions 112P and 112F includes: photolithography and etching to pattern the substrate to form the fin active region 112F and the trench; depositing one or more dielectric materials to fill in the trench; and performing chemical mechanical polishing (CMP) to flatten the top surface. The method may further include etching to recess the filled dielectric material to form the STI structure 110. In some embodiments, the etching process recesses the filled dielectric material applied only to the fin active region 112F, such as by a procedure including forming a mask layer covering the planar active region 112P; and etching to recess the dielectric material within the fin active region 112F. In particular, the interface between the planar active region 112P and the fin active region 112F overlaps the gate structure 118 and may be a straight line in top view, as shown in FIG. 6A, or alternatively may be a curved line in top view, such as those shown in FIGS. 6C to 6G.

方法200包括操作206,通过在有源区域112F和112P上方形成栅极结构。栅极结构形成在有源区域上并且位于沟道区域上面。栅极结构包括栅极堆叠件和设置在栅极堆叠件的侧壁上的栅极间隔件。在一些实施例中,栅极堆叠件是每个包括栅极介电层(诸如高K介电材料)和栅电极(诸如一种或多种金属)的功能栅极堆叠件。在一些实施例中,栅极堆叠件是包括多晶硅的伪栅极堆叠件,并且在稍后阶段由功能栅极堆叠件替换。具体地,当形成栅极堆叠件时,图案化栅极材料以具有各个段,诸如图1A和图1B中所示。The method 200 includes an operation 206 by forming a gate structure over the active regions 112F and 112P. The gate structure is formed on the active region and is located above the channel region. The gate structure includes a gate stack and a gate spacer disposed on the sidewalls of the gate stack. In some embodiments, the gate stacks are functional gate stacks each including a gate dielectric layer (such as a high-K dielectric material) and a gate electrode (such as one or more metals). In some embodiments, the gate stacks are dummy gate stacks including polysilicon and are replaced by functional gate stacks at a later stage. Specifically, when forming the gate stacks, the gate material is patterned to have various segments, such as shown in Figures 1A and 1B.

栅极堆叠件118包括栅极介电层和设置在栅极介电层上的栅电极。在本实施例中,栅极介电层包括高k介电材料,并且栅电极包括金属或金属合金。在一些实例中,栅极介电层和栅电极每个可以包括多个子层。高k介电材料可以包括金属氧化物、金属氮化物,诸如LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba、Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物(SiON)或其它合适的介电材料。栅电极可以包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、WN、Cu、W、Ru、Co或任何合适的导电材料。在一些实施例中,不同的金属材料用于具有相应功函的nFET和pFET器件,以增强器件性能。栅极介电层还可以包括夹置在高k介电材料层和对应的鳍有源区域106之间的界面层。界面层可以包括氧化硅、氮化硅、氮氧化硅和/或其它合适的材料。界面层通过合适的方法来沉积,诸如ALD、CVD、臭氧氧化等。高k介电层通过合适的技术沉积在界面层(如果界面层存在)上,诸如ALD、CVD、金属有机CVD(MOCVD)、PVD、热氧化、它们的组合和/或其它合适的技术。The gate stack 118 includes a gate dielectric layer and a gate electrode disposed on the gate dielectric layer. In the present embodiment, the gate dielectric layer includes a high-k dielectric material, and the gate electrode includes a metal or a metal alloy. In some examples, the gate dielectric layer and the gate electrode each may include a plurality of sublayers. The high-k dielectric material may include a metal oxide, a metal nitride, such as LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba, Sr)TiO 3 (BST), Al 2 O 3 , Si 3 N 4 , oxynitride (SiON) or other suitable dielectric materials. The gate electrode may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, Cu, W, Ru, Co or any suitable conductive material. In some embodiments, different metal materials are used for nFET and pFET devices with corresponding work functions to enhance device performance. The gate dielectric layer may also include an interface layer sandwiched between the high-k dielectric material layer and the corresponding fin active region 106. The interface layer may include silicon oxide, silicon nitride, silicon oxynitride and/or other suitable materials. The interface layer is deposited by a suitable method, such as ALD, CVD, ozone oxidation, etc. The high-k dielectric layer is deposited on the interface layer (if the interface layer exists) by a suitable technique, such as ALD, CVD, metal organic CVD (MOCVD), PVD, thermal oxidation, combinations thereof and/or other suitable techniques.

栅电极可以包括多种导电材料。在一些实施例中,栅电极包括覆盖层、阻挡层、功函金属层、另一阻挡层和填充金属层。在进一步实施例中,覆盖层包括通过诸如ALD的适当的沉积技术形成的氮化钛、氮化钽或其它合适的材料。阻挡层包括通过诸如ALD的适当的沉积技术形成的氮化钛、氮化钽或其它合适的材料。功函金属层包括具有适当功函的金属或金属合金的导电层,从而使得对应的FET因其器件性能而得到增强。对于第二区域中的pFET和nFET,功函(WF)金属层在成分上不同,分别称为p型WF金属和n型WF金属。特别地,n型WF金属是具有第一功函的金属,从而使得相关nFET的阈值电压减小。n型WF金属接近硅导带能(Ec)或更低的功函,呈现更容易的电子逃逸。例如,n型WF金属具有约4.2eV或更小的功函。p型WF金属是具有第二功函的金属,从而使得相关pFET的阈值电压减小。p型WF金属接近硅价带能(Ev)或更高的功函,对原子核呈现强的电子结合能。例如,p型功函金属具有约5.2eV或更高的WF。在一些实施例中,n型WF金属包括钽(Ta)。在其它实施例中,n型WF金属包括钛铝(TiAl)、氮化钛铝(TiAlN)或它们的组合。在其它实施例中,n金属包括Ta、TiAl、TiAlN、氮化钨(WN)或它们的组合。在一些实施例中,p型WF金属包括氮化钛(TiN)或氮化钽(TaN)。在其它实施例中,p金属包括TiN、TaN、氮化钨(WN)、钛铝(TiAl)或它们的组合。功函金属通过合适的技术来沉积,诸如PVD。n型WF金属或p型WF金属可以包括各个基于金属的膜作为堆叠件,用于优化器件性能和处理兼容性。在各个实施例中,填充金属层包括铝、钨、铜或其它合适的金属。填充金属层通过合适的技术来沉积,诸如PVD或镀。栅极堆叠件通过合适的方法来形成,诸如包括使用光刻工艺和蚀刻的沉积和图案化的程序。The gate electrode may include a variety of conductive materials. In some embodiments, the gate electrode includes a capping layer, a barrier layer, a work function metal layer, another barrier layer and a filling metal layer. In a further embodiment, the capping layer includes titanium nitride, tantalum nitride or other suitable materials formed by a suitable deposition technique such as ALD. The barrier layer includes titanium nitride, tantalum nitride or other suitable materials formed by a suitable deposition technique such as ALD. The work function metal layer includes a conductive layer of a metal or metal alloy having a suitable work function, so that the corresponding FET is enhanced by its device performance. For the pFET and nFET in the second region, the work function (WF) metal layer is different in composition, and is respectively referred to as a p-type WF metal and an n-type WF metal. In particular, the n-type WF metal is a metal having a first work function, so that the threshold voltage of the relevant nFET is reduced. The n-type WF metal is close to the silicon conduction band energy (Ec) or lower work function, presenting easier electron escape. For example, the n-type WF metal has a work function of about 4.2eV or less. The p-type WF metal is a metal having a second work function, so that the threshold voltage of the relevant pFET is reduced. The p-type WF metal has a work function close to the silicon valence band energy (Ev) or higher, and presents a strong electron binding energy to the nucleus. For example, the p-type work function metal has a WF of about 5.2eV or higher. In some embodiments, the n-type WF metal includes tantalum (Ta). In other embodiments, the n-type WF metal includes titanium aluminum (TiAl), titanium aluminum nitride (TiAlN), or a combination thereof. In other embodiments, the n-metal includes Ta, TiAl, TiAlN, tungsten nitride (WN), or a combination thereof. In some embodiments, the p-type WF metal includes titanium nitride (TiN) or tantalum nitride (TaN). In other embodiments, the p-metal includes TiN, TaN, tungsten nitride (WN), titanium aluminum (TiAl), or a combination thereof. The work function metal is deposited by a suitable technique, such as PVD. The n-type WF metal or the p-type WF metal may include various metal-based films as stacked parts for optimizing device performance and processing compatibility. In various embodiments, the fill metal layer includes aluminum, tungsten, copper, or other suitable metals. The fill metal layer is deposited by a suitable technique, such as PVD or plating. The gate stack is formed by a suitable method, such as a procedure including deposition and patterning using photolithography processes and etching.

栅极间隔件可以包括任何合适的介电材料,诸如半导体氧化物、半导体氮化物、半导体碳化物、半导体氮氧化物、其它合适的介电材料和/或它们的组合。间隔件可以具有多个膜,诸如两个膜(氧化硅膜和氮化硅膜)或三个膜(氧化硅膜;氮化硅膜;以及氧化硅膜)。间隔件的形成可以包括沉积和各向异性蚀刻,诸如干蚀刻。The gate spacer may include any suitable dielectric material, such as semiconductor oxide, semiconductor nitride, semiconductor carbide, semiconductor oxynitride, other suitable dielectric materials and/or combinations thereof. The spacer may have multiple films, such as two films (silicon oxide film and silicon nitride film) or three films (silicon oxide film; silicon nitride film; and silicon oxide film). The formation of the spacer may include deposition and anisotropic etching, such as dry etching.

方法200包括操作208,通过在有源区域上形成源极部件114和漏极部件116,诸如图1A和图1B中所示的源极114和共用漏极116。在一些实施例中,源极114形成在鳍有源区域112F上,并且漏极116形成在平面有源区域112P上。源极114和漏极116通过任何合适的方法来形成,诸如离子注入、扩散、外延生长或它们的组合。在所公开的实施例中,源极114和漏极116通过选择性外延生长来形成,用于具有增强的载流子迁移率和器件性能的应变效应。在一些实施例中,源极114和漏极116通过一个或多个外延(epi)工艺来形成,由此在有源区域上以结晶状态生长Si部件、SiGe部件、SiC部件和/或其它合适的部件。可选地,在外延生长之前,施加蚀刻工艺以使源极/漏极区域凹进。合适的外延工艺包括CVD沉积技术(例如,气相外延(VPE)和/或超高真空CVD(UHV-CVD)、分子束外延和/或其它合适的工艺)。外延工艺可以使用气体和/或液体前体,它们与衬底的成分相互作用。在一些实施例中,相邻的源极/漏极可以生长为合并在一起,以提供增加的接触区并且减小接触电阻。这可以通过控制外延生长工艺来实现。The method 200 includes an operation 208 by forming a source component 114 and a drain component 116 on the active area, such as the source 114 and the common drain 116 shown in Figures 1A and 1B. In some embodiments, the source 114 is formed on the fin active area 112F, and the drain 116 is formed on the planar active area 112P. The source 114 and the drain 116 are formed by any suitable method, such as ion implantation, diffusion, epitaxial growth, or a combination thereof. In the disclosed embodiment, the source 114 and the drain 116 are formed by selective epitaxial growth for strain effects with enhanced carrier mobility and device performance. In some embodiments, the source 114 and the drain 116 are formed by one or more epitaxial (epi) processes, thereby growing Si components, SiGe components, SiC components, and/or other suitable components in a crystalline state on the active area. Optionally, before the epitaxial growth, an etching process is applied to recess the source/drain region. Suitable epitaxial processes include CVD deposition techniques (e.g., vapor phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD), molecular beam epitaxy, and/or other suitable processes). The epitaxial process may use gaseous and/or liquid precursors that interact with the composition of the substrate. In some embodiments, adjacent source/drain electrodes may be grown to merge together to provide an increased contact area and reduce contact resistance. This may be achieved by controlling the epitaxial growth process.

源极114和漏极116可以在外延工艺期间通过引入掺杂物质来原位掺杂,掺杂物质包括:p型掺杂剂,诸如硼或BF2;n型掺杂剂,诸如磷或砷;和/或其它合适的掺杂剂,包括它们的组合。如果源极114和漏极116没有原位掺杂,则实施注入工艺以将对应的掺杂剂引入源极和漏极中。在实施例中,nFET中的源极114和漏极116包括掺杂有磷的SiC或Si,而pFET中的那些包括掺杂有硼的Ge或SiGe。在一些其它实施例中,上升的源极和漏极包括多于一个半导体材料层。例如,在源极/漏极区域内的衬底上外延生长硅锗层,并且在硅锗层上外延生长硅层。此后可以实施一个或多个退火工艺以激活源极和漏极。合适的退火工艺包括快速热退火(RTA)、激光退火工艺、其它合适的退火技术或它们的组合。The source 114 and drain 116 may be doped in situ during the epitaxial process by introducing doping substances, including: p-type dopants, such as boron or BF2 ; n-type dopants, such as phosphorus or arsenic; and/or other suitable dopants, including combinations thereof. If the source 114 and drain 116 are not doped in situ, an implantation process is performed to introduce the corresponding dopants into the source and drain. In an embodiment, the source 114 and drain 116 in the nFET include SiC or Si doped with phosphorus, while those in the pFET include Ge or SiGe doped with boron. In some other embodiments, the raised source and drain include more than one semiconductor material layer. For example, a silicon germanium layer is epitaxially grown on the substrate in the source/drain region, and a silicon layer is epitaxially grown on the silicon germanium layer. One or more annealing processes may be performed thereafter to activate the source and drain. Suitable annealing processes include rapid thermal annealing (RTA), laser annealing processes, other suitable annealing techniques, or combinations thereof.

方法200可以包括在上面描述的操作之前、期间或之后实施的其它制造工艺210。例如,方法200可以包括在栅极堆叠件108的顶部上形成保护层的操作,以保护栅极堆叠件110在随后处理期间不受损失。保护层可以包括与ILD层的介电材料不同的合适材料,以在形成接触开口的蚀刻工艺期间实现蚀刻选择性。在一些实施例中,保护层包括氮化硅。在其它实例中,方法200包括在半导体衬底102上形成互连结构,以将各个FET和其它器件连接成电路。互连结构包括通过合适的工艺形成的接触件、通孔和金属线。在铜互连中,导电部件包括铜,并且还可以包括阻挡层。铜互连结构通过镶嵌工艺来形成。镶嵌工艺包括:沉积ILD层;图案化ILD层以形成沟槽;沉积各种材料(诸如阻挡层和铜);以及实施CMP工艺。镶嵌工艺可以是单重镶嵌工艺或双重镶嵌工艺。铜的沉积可以包括PVD以形成晶种层以及镀以在铜晶种层上形成主体铜。可以使用其它金属,诸如钌、钴、钨或铝,以形成互连结构。在一些实施例中,在接触孔中填充导电材料之前,可以在源极114和漏极116上形成硅化物,以进一步减小接触电阻。硅化物包括硅和金属,诸如硅化钛、硅化钽、硅化镍或硅化钴。硅化物可以通过称为自对准硅化物(或自对准多晶硅化物)的工艺来形成。工艺包括金属沉积、退火以使金属与硅反应,以及蚀刻以去除未反应的金属。在一些其它实施例中,一些其它金属,诸如钌或钴,可以用于接触件和/或通孔。The method 200 may include other manufacturing processes 210 implemented before, during, or after the operations described above. For example, the method 200 may include an operation of forming a protective layer on top of the gate stack 108 to protect the gate stack 110 from loss during subsequent processing. The protective layer may include a suitable material different from the dielectric material of the ILD layer to achieve etching selectivity during the etching process of forming the contact opening. In some embodiments, the protective layer includes silicon nitride. In other examples, the method 200 includes forming an interconnect structure on the semiconductor substrate 102 to connect various FETs and other devices into a circuit. The interconnect structure includes contacts, vias, and metal lines formed by suitable processes. In copper interconnects, the conductive components include copper and may also include a barrier layer. The copper interconnect structure is formed by a damascene process. The damascene process includes: depositing an ILD layer; patterning the ILD layer to form a groove; depositing various materials (such as a barrier layer and copper); and performing a CMP process. The damascene process may be a single damascene process or a dual damascene process. The deposition of copper may include PVD to form a seed layer and plating to form a bulk copper on the copper seed layer. Other metals, such as ruthenium, cobalt, tungsten or aluminum, can be used to form an interconnect structure. In some embodiments, before filling the conductive material in the contact hole, silicide can be formed on the source 114 and the drain 116 to further reduce the contact resistance. The silicide includes silicon and a metal, such as titanium silicide, tantalum silicide, nickel silicide or cobalt silicide. The silicide can be formed by a process called self-aligned silicide (or self-aligned polysilicide). The process includes metal deposition, annealing to react the metal with silicon, and etching to remove unreacted metal. In some other embodiments, some other metals, such as ruthenium or cobalt, can be used for contacts and/or through holes.

本公开实施例提供了具有一个或多个HVFET器件的IC结构及其制造方法。如上面所描述,IC结构包括增强HVFET器件性能的各个部件,包括中性区域120、混合有源区域、STI部件110的阶梯式轮廓、分段栅极结构118以及鳍有源区域112F和平面有源区域112P之间的界面的各种几何形状。在所公开的IC结构中实现了各个部件,以实现增强的性能,包括增加的击穿电压、减少的泄漏电流和导通状态下增加的电流。此外,IC结构的HVFET器件可以形成在平面有源区域、鳍有源区域上,或者形成为具有其它三维FET结构,诸如具有垂直堆叠的多个沟道的纳米结构,诸如全环栅(GAA)结构,或者具有彼此垂直堆叠的nFET和pFET的CFET结构。The disclosed embodiments provide an IC structure having one or more HVFET devices and a method for manufacturing the same. As described above, the IC structure includes various components that enhance the performance of the HVFET device, including the neutral region 120, the hybrid active region, the stepped profile of the STI component 110, the segmented gate structure 118, and various geometries of the interface between the fin active region 112F and the planar active region 112P. Various components are implemented in the disclosed IC structure to achieve enhanced performance, including increased breakdown voltage, reduced leakage current, and increased current in the on state. In addition, the HVFET device of the IC structure can be formed on a planar active region, a fin active region, or formed to have other three-dimensional FET structures, such as a nanostructure with multiple channels stacked vertically, such as a full-ring gate (GAA) structure, or a CFET structure with nFETs and pFETs stacked vertically on each other.

在一个示例性方面,本公开实施例提供了集成电路(IC)结构的实施例。IC结构包括:半导体衬底;隔离结构,形成在半导体衬底中,从而限定由隔离部件围绕的有源区域;第一导电类型的第一阱,形成在半导体衬底中;中性区域,形成在半导体衬底中并且横向围绕第一阱;第二导电类型的第二阱,形成在半导体衬底上并且横向围绕中性区域,第二导电类型与第一导电类型相反;源极,设置在半导体衬底的第二阱上;漏极,设置在半导体衬底的第一阱上;以及栅极结构,介于源极和漏极之间。栅极结构接合半导体衬底的第一阱、中性区域和第二阱。源极、漏极和栅极结构配置为第一场效应晶体管(FET)。In one exemplary aspect, the disclosed embodiments provide an embodiment of an integrated circuit (IC) structure. The IC structure includes: a semiconductor substrate; an isolation structure formed in the semiconductor substrate to define an active area surrounded by an isolation component; a first well of a first conductivity type formed in the semiconductor substrate; a neutral region formed in the semiconductor substrate and laterally surrounding the first well; a second well of a second conductivity type formed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; a source disposed on the second well of the semiconductor substrate; a drain disposed on the first well of the semiconductor substrate; and a gate structure between the source and the drain. The gate structure engages the first well, the neutral region, and the second well of the semiconductor substrate. The source, the drain, and the gate structure are configured as a first field effect transistor (FET).

在另一示例性方面,本公开实施例提供了集成电路(IC)结构的实施例。IC结构包括:半导体衬底;浅沟槽隔离(STI)部件,形成在半导体衬底中,从而限定由STI部件围绕的有源区域;第一导电类型的第一阱,设置在半导体衬底上;中性区域,设置在半导体衬底上并且横向围绕第一阱;第二导电类型的第二阱,设置在半导体衬底上并且横向围绕中性区域,第二导电类型与第一导电类型相反;以及第一场效应晶体管(FET)和第二FET,形成在半导体衬底上。第一FET包括设置在第二阱上的第一源极、设置在第一阱上的漏极以及介于第一源极和漏极之间的第一栅极结构。第一栅极结构接合在第一阱、中性区域和第二阱上。第二FET包括设置在第二阱上的第二源极、漏极和介于第二源极和漏极之间的第二栅极结构。第二栅极结构接合在第一阱、中性区域和第二阱上。In another exemplary aspect, the disclosed embodiments provide an embodiment of an integrated circuit (IC) structure. The IC structure includes: a semiconductor substrate; a shallow trench isolation (STI) component formed in the semiconductor substrate to define an active area surrounded by the STI component; a first well of a first conductivity type, disposed on the semiconductor substrate; a neutral region, disposed on the semiconductor substrate and laterally surrounding the first well; a second well of a second conductivity type, disposed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; and a first field effect transistor (FET) and a second FET, formed on the semiconductor substrate. The first FET includes a first source disposed on the second well, a drain disposed on the first well, and a first gate structure between the first source and the drain. The first gate structure is bonded to the first well, the neutral region, and the second well. The second FET includes a second source disposed on the second well, a drain, and a second gate structure between the second source and the drain. The second gate structure is bonded to the first well, the neutral region, and the second well.

在又一示例性方面,本公开实施例提供了制造高电压场效应晶体管的方法的一个实施例。方法包括:在半导体衬底中形成第一导电类型的第一阱;在半导体衬底上形成第二导电类型的第二阱,从而使得第二阱横向包围第一阱并且用第一阱和第二阱之间的中性区域与第一阱隔开,第二导电类型与第一导电类型相反;形成由具有不均匀厚度的隔离结构围绕的有源区域,其中,有源区域包括平面有源区域和鳍有源区域;在第二阱中形成源极;在第一阱中形成漏极;以及形成介于源极和漏极之间的栅极结构,栅极结构设置在第一阱、中性区域和第二阱上。In another exemplary aspect, the disclosed embodiment provides an embodiment of a method for manufacturing a high voltage field effect transistor. The method includes: forming a first well of a first conductivity type in a semiconductor substrate; forming a second well of a second conductivity type on the semiconductor substrate, so that the second well laterally surrounds the first well and is separated from the first well by a neutral region between the first well and the second well, the second conductivity type is opposite to the first conductivity type; forming an active area surrounded by an isolation structure with a non-uniform thickness, wherein the active area includes a planar active area and a fin active area; forming a source in the second well; forming a drain in the first well; and forming a gate structure between the source and the drain, the gate structure being disposed on the first well, the neutral region, and the second well.

本申请的一些实施例提供了一种集成电路(IC)结构,包括:半导体衬底;隔离结构,形成在所述半导体衬底中,从而限定由所述隔离部件围绕的有源区域;第一导电类型的第一阱,形成在所述半导体衬底中;中性区域,形成在所述半导体衬底中并且横向围绕所述第一阱;第二导电类型的第二阱,形成在所述半导体衬底上并且横向围绕所述中性区域,所述第二导电类型与所述第一导电类型相反;源极,设置在所述半导体衬底的所述第二阱上;漏极,设置在所述半导体衬底的所述第一阱上;以及栅极结构,介于所述源极和所述漏极之间,所述栅极结构接合所述半导体衬底的所述第一阱、所述中性区域和所述第二阱,其中,所述源极、所述漏极和所述栅极结构配置为第一场效应晶体管(FET)。Some embodiments of the present application provide an integrated circuit (IC) structure, comprising: a semiconductor substrate; an isolation structure formed in the semiconductor substrate to define an active area surrounded by the isolation component; a first well of a first conductivity type formed in the semiconductor substrate; a neutral region formed in the semiconductor substrate and laterally surrounding the first well; a second well of a second conductivity type formed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; a source disposed on the second well of the semiconductor substrate; a drain disposed on the first well of the semiconductor substrate; and a gate structure interposed between the source and the drain, the gate structure joining the first well, the neutral region and the second well of the semiconductor substrate, wherein the source, the drain and the gate structure are configured as a first field effect transistor (FET).

在一些实施例中,所述隔离部件是浅沟槽隔离(STI)部件,所述浅沟槽隔离(STI)部件包括形成在所述第一阱中并且设置在所述源极和所述漏极之间的部分。在一些实施例中,所述浅沟槽隔离部件具有不均匀结构,所述不均匀结构包括第一厚度的第一段、第二厚度的第二段以及连接所述浅沟槽隔离部件的所述第一段和所述第二段的过渡段;所述第二厚度大于所述第一厚度;以及所述浅沟槽隔离部件的所述过渡段具有变化的厚度,并且在顶视图中与所述中性区域重叠。在一些实施例中,所述栅极结构包括由所述浅沟槽隔离部件的所述部分介于其间的第一段和第二段,其中,所述栅极结构的所述第一段直接设置在所述中性区域上,并且沿第一方向跨越在所述源极和所述浅沟槽隔离部件的所述部分之间,所述栅极结构的所述第二段直接设置在所述第一阱上,并且跨越在所述浅沟槽隔离部件的所述部分和所述漏极之间,所述第一段配置为电连接至电源信号线,并且所述栅极结构的所述第二段配置为是浮置的。在一些实施例中,所述栅极结构的所述第一段和所述第二段沿正交于所述第一方向的第二方向纵向取向;以及所述栅极结构的所述第一段在所述顶视图中与所述第一阱和所述第二阱部分重叠。在一些实施例中,集成电路结构还包括:所述第二导电类型的深阱,其中,所述源极和所述漏极是所述第一导电类型的掺杂部件,并且所述第一阱和所述第二阱设置在所述深阱上,并且在顶视图中与所述深阱重叠。在一些实施例中,所述有源区域包括平面有源区域和鳍有源区域。在一些实施例中,所述平面有源区域和所述鳍有源区域包括在顶视图中具有曲线的界面。在一些实施例中,所述源极形成在所述鳍有源区域上;所述漏极形成在所述平面有源区域上;以及所述栅极结构形成在所述平面有源区域和所述鳍有源区域上,并且在所述顶视图中与所述平面有源区域和所述鳍有源区域的所述界面重叠。在一些实施例中,所述源极具有分别形成在所述鳍有源区域上的多个部分。在一些实施例中,所述栅极结构是第一栅极结构,并且所述源极是第一源极,其中,所述集成电路结构还包括:第二源极,设置在所述半导体衬底的所述第二阱中;以及第二栅极结构,介于所述第二源极和所述漏极之间,所述栅极结构接合所述半导体衬底的所述第一阱、所述中性区域和所述第二阱,其中,所述第二源极、所述漏极和所述第二栅极结构配置为第二场效应晶体管。In some embodiments, the isolation component is a shallow trench isolation (STI) component, the shallow trench isolation (STI) component including a portion formed in the first well and disposed between the source and the drain. In some embodiments, the shallow trench isolation component has a non-uniform structure, the non-uniform structure including a first segment of a first thickness, a second segment of a second thickness, and a transition segment connecting the first segment and the second segment of the shallow trench isolation component; the second thickness is greater than the first thickness; and the transition segment of the shallow trench isolation component has a varying thickness and overlaps with the neutral region in a top view. In some embodiments, the gate structure includes a first segment and a second segment interposed by the portion of the shallow trench isolation component, wherein the first segment of the gate structure is directly disposed on the neutral region and spans between the source and the portion of the shallow trench isolation component in a first direction, the second segment of the gate structure is directly disposed on the first well and spans between the portion of the shallow trench isolation component and the drain, the first segment is configured to be electrically connected to a power signal line, and the second segment of the gate structure is configured to be floating. In some embodiments, the first segment and the second segment of the gate structure are longitudinally oriented along a second direction orthogonal to the first direction; and the first segment of the gate structure partially overlaps the first well and the second well in the top view. In some embodiments, the integrated circuit structure further comprises: a deep well of the second conductivity type, wherein the source and the drain are doped components of the first conductivity type, and the first well and the second well are disposed on the deep well and overlap the deep well in the top view. In some embodiments, the active area comprises a planar active area and a fin active area. In some embodiments, the planar active area and the fin active area comprise an interface having a curve in the top view. In some embodiments, the source is formed on the fin active area; the drain is formed on the planar active area; and the gate structure is formed on the planar active area and the fin active area and overlaps the interface of the planar active area and the fin active area in the top view. In some embodiments, the source has a plurality of portions formed on the fin active area, respectively. In some embodiments, the gate structure is a first gate structure and the source is a first source, wherein the integrated circuit structure further includes: a second source, disposed in the second well of the semiconductor substrate; and a second gate structure, interposed between the second source and the drain, the gate structure joining the first well, the neutral region and the second well of the semiconductor substrate, wherein the second source, the drain and the second gate structure are configured as a second field effect transistor.

本申请的另一些实施例提供了一种集成电路(IC)结构,包括:半导体衬底;浅沟槽隔离(STI)部件,形成在所述半导体衬底中,从而限定由所述浅沟槽隔离部件围绕的有源区域;第一导电类型的第一阱,设置在所述半导体衬底上;中性区域,设置在所述半导体衬底上并且横向围绕所述第一阱;第二导电类型的第二阱,设置在所述半导体衬底上并且横向围绕所述中性区域,所述第二导电类型与所述第一导电类型相反;以及第一场效应晶体管(FET)和第二场效应晶体管,形成在所述半导体衬底上,其中,所述第一场效应晶体管包括设置在所述第二阱上的第一源极、设置在所述第一阱上的漏极以及介于所述第一源极和所述漏极之间的第一栅极结构,所述第一栅极结构接合在所述第一阱、所述中性区域和所述第二阱上,和所述第二场效应晶体管包括设置在所述第二阱上的第二源极、所述漏极以及介于所述第二源极和所述漏极之间的第二栅极结构,第二栅极结构接合在所述第一阱、所述中性区域和所述第二阱上。Some other embodiments of the present application provide an integrated circuit (IC) structure, comprising: a semiconductor substrate; a shallow trench isolation (STI) component formed in the semiconductor substrate to define an active area surrounded by the shallow trench isolation component; a first well of a first conductivity type, disposed on the semiconductor substrate; a neutral region, disposed on the semiconductor substrate and laterally surrounding the first well; a second well of a second conductivity type, disposed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; and a first field effect transistor (FET) and a second field effect transistor, formed on the semiconductor substrate, wherein the first field effect transistor comprises a first source disposed on the second well, a drain disposed on the first well, and a first gate structure between the first source and the drain, the first gate structure being bonded to the first well, the neutral region, and the second well, and the second field effect transistor comprises a second source disposed on the second well, the drain, and a second gate structure between the second source and the drain, the second gate structure being bonded to the first well, the neutral region, and the second well.

在一些实施例中,所述浅沟槽隔离部件还包括:第一部分,形成在所述第一阱中并且设置在所述第一源极和所述漏极之间,以及第二部分,形成在所述第一阱中并且设置在所述第二源极和所述漏极之间。在一些实施例中,所述第一栅极结构包括由所述浅沟槽隔离部件的所述第一部分介于其间的第一段和第二段;所述第二栅极结构包括由所述浅沟槽隔离部件的所述第二部分介于其间的第三段和第四段;所述第一栅极结构的所述第一段直接设置在所述中性区域上,并且沿第一方向跨越在所述第一源极和所述浅沟槽隔离部件的所述第一部分之间;所述第一栅极结构的所述第二段直接设置在所述第一阱上,并且跨越在所述浅沟槽隔离部件的所述第一部分和所述漏极之间;所述第二栅极结构的所述第三段直接设置在所述中性区域上,并且沿所述第一方向跨越在所述第二源极和所述浅沟槽隔离部件的所述第二部分之间;所述第二栅极结构的所述第四段直接设置在所述第一阱上,并且跨越在所述浅沟槽隔离部件的所述第二部分和所述漏极之间;以及所述第一栅极结构的所述第二段和所述第二栅极结构的所述第四段配置为是浮置的。在一些实施例中,所述有源区域包括第一平面有源区域、第二平面有源区域、第三平面有源区域、第一鳍有源区域和第二鳍有源区域;所述第一平面有源区域跨越在所述浅沟槽隔离部件的所述第一部分和所述第二部分之间,所述漏极形成在所述第一平面有源区域上;所述第二平面有源区域跨越在所述第一鳍有源区域和所述浅沟槽隔离部件的所述第一部分之间,并且以第一弯曲界面接触所述第一鳍有源区域,所述第一源极设置在所述第一鳍有源区域上;以及所述第三平面有源区域跨越在所述第二鳍有源区域和所述浅沟槽隔离部件的所述第二部分之间,并且以第二弯曲界面接触所述第二鳍有源区域,所述第二源极设置在所述第二鳍有源区域上。在一些实施例中,所述浅沟槽隔离部件包括第一厚度的第一段、第二厚度的第二段、连接所述浅沟槽隔离部件的所述第一段和所述第二段的过渡段;所述第二厚度大于所述第一厚度;以及所述浅沟槽隔离部件的所述过渡段具有变化的厚度,并且在顶视图中与所述中性区域重叠。In some embodiments, the shallow trench isolation feature further comprises: a first portion formed in the first well and disposed between the first source and the drain, and a second portion formed in the first well and disposed between the second source and the drain. In some embodiments, the first gate structure comprises a first segment and a second segment sandwiched by the first segment of the shallow trench isolation feature; the second gate structure comprises a third segment and a fourth segment sandwiched by the second segment of the shallow trench isolation feature; the first segment of the first gate structure is directly disposed on the neutral region and spans between the first source and the first segment of the shallow trench isolation feature along a first direction; the second segment of the first gate structure is directly disposed on the first well and spans between the first segment of the shallow trench isolation feature and the drain; the third segment of the second gate structure is directly disposed on the neutral region and spans between the second source and the second segment of the shallow trench isolation feature along the first direction; the fourth segment of the second gate structure is directly disposed on the first well and spans between the second segment of the shallow trench isolation feature and the drain; and the second segment of the first gate structure and the fourth segment of the second gate structure are configured to be floating. In some embodiments, the active region includes a first planar active region, a second planar active region, a third planar active region, a first fin active region, and a second fin active region; the first planar active region spans between the first portion and the second portion of the shallow trench isolation component, and the drain is formed on the first planar active region; the second planar active region spans between the first fin active region and the first portion of the shallow trench isolation component, and contacts the first fin active region with a first curved interface, and the first source is disposed on the first fin active region; and the third planar active region spans between the second fin active region and the second portion of the shallow trench isolation component, and contacts the second fin active region with a second curved interface, and the second source is disposed on the second fin active region. In some embodiments, the shallow trench isolation component includes a first segment of a first thickness, a second segment of a second thickness, and a transition segment connecting the first segment and the second segment of the shallow trench isolation component; the second thickness is greater than the first thickness; and the transition segment of the shallow trench isolation component has a varying thickness and overlaps with the neutral region in a top view.

本申请的又一些实施例提供了一种制造高电压场效应晶体管的方法,包括:在半导体衬底中形成第一导电类型的第一阱;在所述半导体衬底上形成第二导电类型的第二阱,从而使得所述第二阱横向包围所述第一阱并且用所述第一阱和所述第二阱之间的中性区域与所述第一阱隔开,所述第二导电类型与所述第一导电类型相反;形成由具有不均匀厚度的隔离结构围绕的有源区域,其中,所述有源区域包括平面有源区域和鳍有源区域;在所述第二阱中形成源极;在所述第一阱中形成漏极;以及形成介于所述源极和所述漏极之间的栅极结构,所述栅极结构设置在所述第一阱、所述中性区域和所述第二阱上。Some other embodiments of the present application provide a method for manufacturing a high-voltage field effect transistor, comprising: forming a first well of a first conductivity type in a semiconductor substrate; forming a second well of a second conductivity type on the semiconductor substrate, so that the second well laterally surrounds the first well and is separated from the first well by a neutral region between the first well and the second well, the second conductivity type being opposite to the first conductivity type; forming an active area surrounded by an isolation structure having an uneven thickness, wherein the active area includes a planar active area and a fin active area; forming a source in the second well; forming a drain in the first well; and forming a gate structure between the source and the drain, the gate structure being arranged on the first well, the neutral region and the second well.

在一些实施例中,形成所述有源区域包括在半导体衬底中形成所述隔离结构;形成所述隔离结构包括形成浅沟槽隔离(STI)部件;所述浅沟槽隔离部件包括第一厚度的第一段、第二厚度的第二段以及连接所述浅沟槽隔离部件的所述第一段和所述第二段的过渡段,所述第二厚度大于所述第一厚度;以及所述浅沟槽隔离部件的所述过渡段具有变化的厚度,并且在顶视图中与所述中性区域重叠。在一些实施例中,形成所述有源区域包括形成第一平面有源区域、第二平面有源区域和鳍有源区域;所述源极设置在所述鳍有源区域上;所述漏极设置在所述第一平面有源区域上;以及所述栅极结构设置在所述第二平面有源区域和所述鳍有源区域上。在一些实施例中,所述第二平面有源区域和所述鳍有源区域包括弯曲界面;以及所述栅极结构在顶视图中与所述平面有源区域和所述鳍有源区域的所述弯曲界面重叠。In some embodiments, forming the active region includes forming the isolation structure in a semiconductor substrate; forming the isolation structure includes forming a shallow trench isolation (STI) component; the shallow trench isolation component includes a first segment of a first thickness, a second segment of a second thickness, and a transition segment connecting the first segment and the second segment of the shallow trench isolation component, the second thickness being greater than the first thickness; and the transition segment of the shallow trench isolation component has a varying thickness and overlaps with the neutral region in a top view. In some embodiments, forming the active region includes forming a first planar active region, a second planar active region, and a fin active region; the source is disposed on the fin active region; the drain is disposed on the first planar active region; and the gate structure is disposed on the second planar active region and the fin active region. In some embodiments, the second planar active region and the fin active region include a curved interface; and the gate structure overlaps with the curved interface of the planar active region and the fin active region in a top view.

上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开实施例的各个方面。本领域技术人员应该理解,它们可以容易地使用本公开实施例作为基础来设计或修改用于执行与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本公开实施例的精神和范围,并且在不背离本公开实施例的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。The features of several embodiments are summarized above so that those skilled in the art can better understand the various aspects of the embodiments of the present disclosure. Those skilled in the art should understand that they can easily use the embodiments of the present disclosure as a basis to design or modify other processes and structures for performing the same purpose and/or achieving the same advantages as the embodiments introduced herein. Those skilled in the art should also appreciate that such equivalent constructions do not deviate from the spirit and scope of the embodiments of the present disclosure, and that they can make various changes, substitutions and modifications herein without departing from the spirit and scope of the embodiments of the present disclosure.

Claims (10)

1.一种集成电路(IC)结构,包括:1. An integrated circuit (IC) structure, comprising: 半导体衬底;Semiconductor substrate; 隔离结构,形成在所述半导体衬底中,从而限定由所述隔离部件围绕的有源区域;an isolation structure formed in the semiconductor substrate so as to define an active area surrounded by the isolation feature; 第一导电类型的第一阱,形成在所述半导体衬底中;A first well of a first conductivity type is formed in the semiconductor substrate; 中性区域,形成在所述半导体衬底中并且横向围绕所述第一阱;a neutral region formed in the semiconductor substrate and laterally surrounding the first well; 第二导电类型的第二阱,形成在所述半导体衬底上并且横向围绕所述中性区域,所述第二导电类型与所述第一导电类型相反;a second well of a second conductivity type formed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; 源极,设置在所述半导体衬底的所述第二阱上;A source electrode, disposed on the second well of the semiconductor substrate; 漏极,设置在所述半导体衬底的所述第一阱上;以及a drain electrode disposed on the first well of the semiconductor substrate; and 栅极结构,介于所述源极和所述漏极之间,所述栅极结构接合所述半导体衬底的所述第一阱、所述中性区域和所述第二阱,其中,所述源极、所述漏极和所述栅极结构配置为第一场效应晶体管(FET)。A gate structure is disposed between the source and the drain, the gate structure engaging the first well, the neutral region, and the second well of the semiconductor substrate, wherein the source, the drain, and the gate structure are configured as a first field effect transistor (FET). 2.根据权利要求1所述的集成电路结构,其中,所述隔离部件是浅沟槽隔离(STI)部件,所述浅沟槽隔离(STI)部件包括形成在所述第一阱中并且设置在所述源极和所述漏极之间的部分。2. The integrated circuit structure of claim 1, wherein the isolation feature is a shallow trench isolation (STI) feature, the shallow trench isolation (STI) feature including a portion formed in the first well and disposed between the source and the drain. 3.根据权利要求2所述的集成电路结构,其中,3. The integrated circuit structure according to claim 2, wherein: 所述浅沟槽隔离部件具有不均匀结构,所述不均匀结构包括第一厚度的第一段、第二厚度的第二段以及连接所述浅沟槽隔离部件的所述第一段和所述第二段的过渡段;The shallow trench isolation component has a non-uniform structure, and the non-uniform structure includes a first section of a first thickness, a second section of a second thickness, and a transition section connecting the first section and the second section of the shallow trench isolation component; 所述第二厚度大于所述第一厚度;以及the second thickness is greater than the first thickness; and 所述浅沟槽隔离部件的所述过渡段具有变化的厚度,并且在顶视图中与所述中性区域重叠。The transition section of the shallow trench isolation feature has a varying thickness and overlaps the neutral region in a top view. 4.根据权利要求2所述的集成电路结构,其中,所述栅极结构包括由所述浅沟槽隔离部件的所述部分介于其间的第一段和第二段,其中,4. The integrated circuit structure of claim 2, wherein the gate structure comprises a first segment and a second segment sandwiched by the portion of the shallow trench isolation feature, wherein: 所述栅极结构的所述第一段直接设置在所述中性区域上,并且沿第一方向跨越在所述源极和所述浅沟槽隔离部件的所述部分之间,The first segment of the gate structure is disposed directly on the neutral region and spans between the source and the portion of the shallow trench isolation feature along a first direction, 所述栅极结构的所述第二段直接设置在所述第一阱上,并且跨越在所述浅沟槽隔离部件的所述部分和所述漏极之间,The second section of the gate structure is disposed directly on the first well and spans between the portion of the shallow trench isolation feature and the drain, 所述第一段配置为电连接至电源信号线,以及The first segment is configured to be electrically connected to a power signal line, and 所述栅极结构的所述第二段配置为是浮置的。The second segment of the gate structure is configured to be floating. 5.根据权利要求4所述的集成电路结构,其中,5. The integrated circuit structure according to claim 4, wherein: 所述栅极结构的所述第一段和所述第二段沿正交于所述第一方向的第二方向纵向取向;以及The first segment and the second segment of the gate structure are longitudinally oriented along a second direction orthogonal to the first direction; and 所述栅极结构的所述第一段在所述顶视图中与所述第一阱和所述第二阱部分重叠。The first segment of the gate structure partially overlaps the first well and the second well in the top view. 6.根据权利要求1所述的集成电路结构,还包括:所述第二导电类型的深阱,其中,6. The integrated circuit structure according to claim 1, further comprising: a deep well of the second conductivity type, wherein: 所述源极和所述漏极是所述第一导电类型的掺杂部件,以及The source and the drain are doped features of the first conductivity type, and 所述第一阱和所述第二阱设置在所述深阱上,并且在顶视图中与所述深阱重叠。The first well and the second well are disposed on the deep well and overlap with the deep well in a top view. 7.根据权利要求1所述的集成电路结构,其中,所述有源区域包括平面有源区域和鳍有源区域。7 . The integrated circuit structure of claim 1 , wherein the active region comprises a planar active region and a fin active region. 8.根据权利要求7所述的集成电路结构,其中,所述平面有源区域和所述鳍有源区域包括在顶视图中具有曲线的界面。8 . The integrated circuit structure of claim 7 , wherein the planar active region and the fin active region include an interface having a curve in a top view. 9.一种集成电路(IC)结构,包括:9. An integrated circuit (IC) structure comprising: 半导体衬底;Semiconductor substrate; 浅沟槽隔离(STI)部件,形成在所述半导体衬底中,从而限定由所述浅沟槽隔离部件围绕的有源区域;a shallow trench isolation (STI) feature formed in the semiconductor substrate to define an active area surrounded by the shallow trench isolation feature; 第一导电类型的第一阱,设置在所述半导体衬底上;A first well of a first conductivity type is disposed on the semiconductor substrate; 中性区域,设置在所述半导体衬底上并且横向围绕所述第一阱;a neutral region disposed on the semiconductor substrate and laterally surrounding the first well; 第二导电类型的第二阱,设置在所述半导体衬底上并且横向围绕所述中性区域,所述第二导电类型与所述第一导电类型相反;以及a second well of a second conductivity type disposed on the semiconductor substrate and laterally surrounding the neutral region, the second conductivity type being opposite to the first conductivity type; and 第一场效应晶体管(FET)和第二场效应晶体管,形成在所述半导体衬底上,其中,A first field effect transistor (FET) and a second field effect transistor are formed on the semiconductor substrate, wherein: 所述第一场效应晶体管包括设置在所述第二阱上的第一源极、设置在所述第一阱上的漏极以及介于所述第一源极和所述漏极之间的第一栅极结构,所述第一栅极结构接合在所述第一阱、所述中性区域和所述第二阱上,和The first field effect transistor includes a first source disposed on the second well, a drain disposed on the first well, and a first gate structure between the first source and the drain, the first gate structure being bonded to the first well, the neutral region, and the second well, and 所述第二场效应晶体管包括设置在所述第二阱上的第二源极、所述漏极以及介于所述第二源极和所述漏极之间的第二栅极结构,第二栅极结构接合在所述第一阱、所述中性区域和所述第二阱上。The second field effect transistor includes a second source disposed on the second well, the drain, and a second gate structure between the second source and the drain, wherein the second gate structure is bonded to the first well, the neutral region, and the second well. 10.一种制造高电压场效应晶体管的方法,包括:10. A method for manufacturing a high voltage field effect transistor, comprising: 在半导体衬底中形成第一导电类型的第一阱;forming a first well of a first conductivity type in a semiconductor substrate; 在所述半导体衬底上形成第二导电类型的第二阱,从而使得所述第二阱横向包围所述第一阱并且用所述第一阱和所述第二阱之间的中性区域与所述第一阱隔开,所述第二导电类型与所述第一导电类型相反;forming a second well of a second conductivity type on the semiconductor substrate so that the second well laterally surrounds the first well and is separated from the first well by a neutral region between the first well and the second well, the second conductivity type being opposite to the first conductivity type; 形成由具有不均匀厚度的隔离结构围绕的有源区域,其中,所述有源区域包括平面有源区域和鳍有源区域;forming an active area surrounded by an isolation structure having a non-uniform thickness, wherein the active area includes a planar active area and a fin active area; 在所述第二阱中形成源极;forming a source in the second well; 在所述第一阱中形成漏极;以及forming a drain in the first well; and 形成介于所述源极和所述漏极之间的栅极结构,所述栅极结构设置在所述第一阱、所述中性区域和所述第二阱上。A gate structure is formed between the source and the drain, and the gate structure is disposed on the first well, the neutral region, and the second well.
CN202410175863.6A 2023-02-09 2024-02-08 Integrated circuit structure and method for manufacturing high voltage field effect transistor Pending CN118116929A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/484,155 2023-02-09
US18/352,847 2023-07-14
US18/352,847 US20240274669A1 (en) 2023-02-09 2023-07-14 Structure and Method for High-Voltage Device

Publications (1)

Publication Number Publication Date
CN118116929A true CN118116929A (en) 2024-05-31

Family

ID=91214836

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202410175863.6A Pending CN118116929A (en) 2023-02-09 2024-02-08 Integrated circuit structure and method for manufacturing high voltage field effect transistor

Country Status (1)

Country Link
CN (1) CN118116929A (en)

Similar Documents

Publication Publication Date Title
US11527651B2 (en) FinFET device with contact over dielectric gate
US10276575B2 (en) Multi-threshold voltage field effect transistor and manufacturing method thereof
US11088248B2 (en) LDD-free semiconductor structure and manufacturing method of the same
CN105374875A (en) Multi-gate device structure including a fin-embedded isolation region and methods thereof
US11239339B2 (en) Gate structure and method
US11387321B2 (en) Integrated circuit structure with non-gated well tap cell
US11791217B2 (en) Gate structure and method with dielectric gates and gate-cut features
US20200365734A1 (en) Structure and Method for FinFET Device with Asymmetric Contact
US12159924B2 (en) Structure and method for multigate devices with suppressed diffusion
CN111863710B (en) Semiconductor structures and methods of forming them
US20240274669A1 (en) Structure and Method for High-Voltage Device
CN118116929A (en) Integrated circuit structure and method for manufacturing high voltage field effect transistor
US9502561B1 (en) Semiconductor devices and methods of forming the same
US20250126818A1 (en) Structure and method for high-voltage device
US20230411479A1 (en) Semiconductor device and manufacturing method thereof
CN115084134A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination