[go: up one dir, main page]

CN117423736A - Semiconductor device, method of manufacturing the same, and method of forming continuous metal cap - Google Patents

Semiconductor device, method of manufacturing the same, and method of forming continuous metal cap Download PDF

Info

Publication number
CN117423736A
CN117423736A CN202311143404.1A CN202311143404A CN117423736A CN 117423736 A CN117423736 A CN 117423736A CN 202311143404 A CN202311143404 A CN 202311143404A CN 117423736 A CN117423736 A CN 117423736A
Authority
CN
China
Prior art keywords
layer
gate structure
metal cap
metal
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311143404.1A
Other languages
Chinese (zh)
Inventor
邱诗航
张文
吴瑞洋
刘冠廷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/153,597 external-priority patent/US20240097005A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117423736A publication Critical patent/CN117423736A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/66Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
    • H10D64/68Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
    • H10D64/681Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator having a compositional variation, e.g. multilayered
    • H10D64/685Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator having a compositional variation, e.g. multilayered being perpendicular to the channel plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/62Fin field-effect transistors [FinFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/01Manufacture or treatment

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

The semiconductor device includes: a gate structure above the semiconductor substrate, having a high dielectric coefficient dielectric layer, a P-type work function layer, an N-type work function layer, a dielectric anti-reaction layer and a glue layer; and a continuous metal cap over the gate structure, formed by: a metal material is deposited over the gate structure, portions of the anti-reaction layer are selectively removed, and additional metal material is deposited over the gate structure. The manufacturing method comprises the following steps: receiving a gate structure; planarizing the top layer of the gate structure; pre-cleaning and pre-treating the surface of the grid structure; depositing a metal material over the gate structure to form a discontinuous metal cap; selectively removing a portion of the anti-reaction layer; depositing additional metal material over the gate structure to create a continuous metal cap; inhibit the growth of the metal cap. The present disclosure also relates to a method of forming a continuous metal cap over a metal gate structure.

Description

半导体装置、其制造方法及形成连续的金属盖的方法Semiconductor device, method of manufacturing same, and method of forming continuous metal cover

技术领域Technical field

本公开实施例是有关于一种半导体装置与其制造方法。Embodiments of the present disclosure relate to a semiconductor device and a manufacturing method thereof.

背景技术Background technique

半导体装置被用于各种电子应用,例如个人电脑、手机、数码相机和其他电子设备。半导体装置通常借由在半导体基板的上方依序沉积绝缘或介电层、导电层和半导体材料层来制造,并使用微影术将各种材料层图案化,以在其上形成电路部件和元件。Semiconductor devices are used in a variety of electronic applications such as personal computers, cell phones, digital cameras, and other electronic devices. Semiconductor devices are typically manufactured by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor material layers over a semiconductor substrate, and patterning the various material layers using photolithography to form circuit components and components thereon .

半导体产业借由不断地缩小最小部件尺寸,持续提高各种电子部件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许将更多部件整合到给定的区域中。然而,随着最小部件尺寸的缩小,出现了应该解决的其他问题。The semiconductor industry continues to increase the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continuously shrinking the minimum component size, which allows more components to be integrated into a given area. However, as minimum component sizes shrink, other issues arise that should be addressed.

发明内容Contents of the invention

本公开的一个实施例为一种半导体装置。半导体装置包含位于半导体基板的上方的栅极结构及位于栅极结构的上方的连续的金属盖。栅极结构包含:高介电系数介电层;P型功函数层;N型功函数层;抗反应层,包含介电材料;及胶层。连续金属盖是通过以下所形成:在多个第一沉积操作期间于栅极结构的上方沉积金属材料,金属材料形成不连续的金属盖;在多个第一湿式化学操作期间选择性地将抗反应层的一部分移除;在多个第二沉积操作期间于栅极结构的上方沉积额外的金属材料,以创建连续的金属盖;及连续的金属盖在多个第二湿式化学操作期间被抑制生长。One embodiment of the present disclosure is a semiconductor device. The semiconductor device includes a gate structure located above the semiconductor substrate and a continuous metal cover located above the gate structure. The gate structure includes: a high dielectric coefficient dielectric layer; a P-type work function layer; an N-type work function layer; an anti-reaction layer, including dielectric materials; and a glue layer. The continuous metal cap is formed by depositing a metal material over the gate structure during a plurality of first deposition operations, the metal material forming a discontinuous metal cap; and selectively placing the resist during a plurality of first wet chemical operations. A portion of the reactive layer is removed; additional metal material is deposited over the gate structure during a plurality of second deposition operations to create a continuous metal cap; and the continuous metal cap is suppressed during a plurality of second wet chemical operations grow.

本公开的另一个实施例为一种在金属栅极结构的上方形成连续的金属盖的方法,包含:接收栅极结构,栅极结构具有高介电系数介电层、P型功函数层、N型功函数层、包含介电材料的抗反应层及胶层。此方法也包含使用氧化或氮化处理对栅极结构的表面进行预处理;使用多个第一沉积操作在栅极结构的上方沉积金属材料,其形成不连续的金属盖;使用多个第一湿式化学操作选择性地将抗反应层的一部分移除;使用多个第二沉积操作在栅极结构的上方沉积额外的金属材料,以创建连续的金属盖;以及使用多个第二湿式化学操作抑制连续的金属盖生长。Another embodiment of the present disclosure is a method of forming a continuous metal cover above a metal gate structure, including: receiving a gate structure having a high-k dielectric layer, a P-type work function layer, N-type work function layer, anti-reaction layer including dielectric material and glue layer. The method also includes pretreating the surface of the gate structure using an oxidation or nitriding process; using a plurality of first deposition operations to deposit a metal material over the gate structure that forms a discontinuous metal cap; using a plurality of first deposition operations Wet chemical operations selectively remove a portion of the anti-reaction layer; use multiple second deposition operations to deposit additional metal material over the gate structure to create a continuous metal cap; and use multiple second wet chemical operations Inhibits continuous metal cap growth.

本公开的又一个实施例为一种制造半导体装置的方法,包含:接收栅极结构,栅极结构具有高介电系数介电层、P型功函数层、N型功函数层、介电抗反应层及胶层。此制造半导体装置的方法更包含:使用氧(O2)或氢/氮(H2/N2)等离子体处理对栅极结构的表面进行预处理;使用多个第一原子层沉积ALD)操作在栅极结构的上方沉积包含钨(W)材料或钼(Mo)材料的第一金属材料,其形成不连续的金属盖;使用稀释的氢氟酸选择性地将抗反应层的一部分移除;使用多个第二原子层沉积操作在栅极结构的上方沉积包含钨或钼的第二金属材料,以创建连续的金属盖;借由通过使用臭氧溶液的湿式蚀刻操作将不需要的金属材料从多个侧间隔物移除来抑制金属盖的生长;以及在金属盖之上形成通孔栅极(VG)。在金属盖之上形成通孔栅极包含使用多个蚀刻操作形成通过层间介电(ILD)材料的开口,以接触金属盖及使用多个沉积操作在开口中沉积金属材料。Yet another embodiment of the present disclosure is a method of manufacturing a semiconductor device, including: receiving a gate structure, the gate structure having a high-k dielectric layer, a P-type work function layer, an N-type work function layer, a dielectric reactance Reactive layer and adhesive layer. The method of manufacturing a semiconductor device further includes: using oxygen (O 2 ) or hydrogen/nitrogen (H 2 /N 2 ) plasma treatment to pretreat the surface of the gate structure; using multiple first atomic layer deposition (ALD) operations Depositing a first metal material including tungsten (W) material or molybdenum (Mo) material over the gate structure to form a discontinuous metal cover; using dilute hydrofluoric acid to selectively remove a portion of the anti-reaction layer ;Using multiple second atomic layer deposition operations to deposit a second metal material containing tungsten or molybdenum over the gate structure to create a continuous metal cap; by removing the unwanted metal material through a wet etching operation using an ozone solution Removing from the plurality of side spacers to inhibit growth of the metal cap; and forming a via gate (VG) over the metal cap. Forming the via gate over the metal cap includes using multiple etching operations to form openings through interlayer dielectric (ILD) material to contact the metal cap and using multiple deposition operations to deposit metallic material in the openings.

附图说明Description of the drawings

根据以下的详细说明并配合所附图式做完整公开。应注意的是,根据本产业的一般作业,各种部件并未必按照比例绘制。事实上,可能任意的放大或缩小各种部件的尺寸,以做清楚的说明。Complete disclosure is made based on the following detailed description and accompanying drawings. It should be noted that, consistent with common practice in the industry, the various components are not necessarily drawn to scale. In fact, the dimensions of the various components may be arbitrarily enlarged or reduced for clarity of illustration.

图1是根据一些实施例绘示包含制造多栅极装置的半导体制造的范例性方法的流程图。1 is a flowchart illustrating an exemplary method of semiconductor fabrication including fabricating a multi-gate device, in accordance with some embodiments.

图2A、图3A、图4A、图5A、图6A、图7A、图8A、图9A和图10A是根据一些实施例绘示范例性的半导体装置的等角视图。2A, 3A, 4A, 5A, 6A, 7A, 8A, 9A, and 10A are isometric views illustrating exemplary semiconductor devices in accordance with some embodiments.

图2B、图3B、图4B、图5B、图6B、图7B、图8B、图9B和图10B是根据一些实施例绘示范例性的半导体装置沿着第一切口X-X’的一种实施例所对应的剖面侧视图。2B, 3B, 4B, 5B, 6B, 7B, 8B, 9B, and 10B illustrate an exemplary semiconductor device along a first slit XX' according to some embodiments. Cross-sectional side view corresponding to each embodiment.

图11是根据一些实施例描绘用于在金属栅极的上方制造连续的金属盖以与随后制造的通孔栅极(VG)导体一起使用的范例性制造方法的流程图。11 is a flowchart depicting an exemplary manufacturing method for fabricating a continuous metal cap over a metal gate for use with subsequently fabricated via gate (VG) conductors, in accordance with some embodiments.

图12A~图12H是根据一些实施例描绘在金属栅极的上方制造连续的金属盖的各个阶段的范例性半导体栅极结构的放大图的图。12A-12H are diagrams depicting enlarged views of exemplary semiconductor gate structures at various stages of fabricating a continuous metal cap over a metal gate, in accordance with some embodiments.

图13是根据一些实施例描绘包含金属漏极制造和通孔栅极制造的进一步半导体制造的范例性方法的工艺流程图。13 is a process flow diagram depicting an exemplary method of further semiconductor fabrication including metal drain fabrication and via gate fabrication, in accordance with some embodiments.

图14A~图14E是根据一些实施例描述在包含金属漏极制造和通孔栅极制造的半导体制造的各个阶段的范例性区域的放大图的图。14A-14E are diagrams depicting enlarged views of exemplary regions at various stages of semiconductor fabrication including metal drain fabrication and via gate fabrication, in accordance with some embodiments.

图15是绘示在半导体装置中的金属栅极结构上方形成连续的金属盖可能导致栅极电阻降低的图表。FIG. 15 is a graph illustrating the reduction in gate resistance that may result from forming a continuous metal cap over a metal gate structure in a semiconductor device.

其中,附图标记说明如下:Among them, the reference symbols are explained as follows:

100,1100,1300:方法100, 1100, 1300: Method

102,104,106,108,110,112,114,116,118,120,122,1102,1104,1106,1108,1110,1112,1114,1116,1118,1120,1122,1302,1304,1306,1308,1310,1312,1314,1316,1318,1320,1322:区块102, 104, 106, 108, 110, 112, 114, 116, 118, 120, 122, 1102, 1104, 1106, 1108, 1110, 1112, 1114, 1116, 1118, 1120, 1122, 1302, 1304, 1306, 1308, 1310, 1312, 1314, 1316, 1318, 1320, 1322: Block

200:半导体装置200: Semiconductor devices

202:基板202: Substrate

204:外延堆叠204: Epitaxial stacking

206,208外延层206, 208 epitaxial layer

210:鳍状元件210: fin element

302:STI部件302: STI parts

304:栅极堆叠304: Gate stack

402:间隔物材料层402: Spacer material layer

602:氧化层602: Oxide layer

702:源极/漏极部件702: Source/Drain Components

802:层间介电(ILD)层802: Interlayer dielectric (ILD) layer

1002:栅极堆叠1002: Gate stack

1004:高介电系数栅极介电层1004: High-k gate dielectric layer

1006:金属层1006: Metal layer

1200:栅极结构1200: Gate structure

1201:栅极堆叠1201: Gate stack

1202:胶层1202: Adhesive layer

1204:抗反应层1204: Anti-reaction layer

1206:n型功函数层1206: n-type work function layer

1208:p型功函数层1208: p-type work function layer

1210:高介电系数层间介电材料1210: High dielectric coefficient interlayer dielectric material

1212:栅极间隔物1212: Gate spacer

1214:等离子体处理1214: Plasma treatment

1215:平均值1215: average

1216:不连续的金属盖1216: Discontinuous metal cover

1217:额外的金属材料1217: Additional metal materials

1218:凹部1218: concave part

1220:连续的金属盖1220: Continuous Metal Cover

1222:通孔栅极(VG)1222: Via hole gate (VG)

1224:层间介电(ILD)1224: Interlayer dielectric (ILD)

1400:区域1400: Area

1402:基板1402: Substrate

1404:源极/漏极区域1404: Source/drain area

1406:图案化遮罩1406: Patterned Mask

1409:硅化物接点1409: Silicide Contact

X-X’:第一切口X-X’: first incision

具体实施方式Detailed ways

以下的公开内容提供许多不同的实施例或范例,以实施本案的不同部件。以下的公开内容叙述各个构件及其排列方式的特定范例,以简化说明。当然,这些特定的范例并非用以限定。The following disclosure provides many different embodiments or examples for implementing different components of the present invention. The following disclosure describes specific examples of each component and its arrangement to simplify the description. Of course, these specific examples are not limiting.

为了简洁起见,与传统半导体装置制造相关的传统技术可能不在本文中详细描述。此外,在此描述的各种作业和工艺可并入具有本文未详细描述的附加功能的更全面的程序或过程中。特别是,半导体装置制造中的各种工艺是众所周知的,因此,为了简洁起见,许多传统工艺在此将仅简要地提及或将完全省略,不提供众所周知的工艺细节。如本领域技术人员在完整阅读本公开的内容后将显而易见的,本文所公开的结构可与各种技术一起使用,并且可并入各种半导体装置和产品中。此外,应注意的是,半导体装置结构包含不同数量的部件,且图式中所示的单个部件可能代表多个部件。For the sake of brevity, conventional techniques related to conventional semiconductor device fabrication may not be described in detail herein. Additionally, the various tasks and processes described herein may be incorporated into more comprehensive programs or processes with additional functionality not described in detail herein. In particular, various processes in semiconductor device fabrication are well known, and therefore, for the sake of brevity, many conventional processes will only be briefly mentioned here or will be omitted entirely without providing well-known process details. As will be apparent to those skilled in the art upon reading this disclosure in its entirety, the structures disclosed herein may be used with a variety of technologies and may be incorporated into a variety of semiconductor devices and products. Additionally, it should be noted that semiconductor device structures include varying numbers of components and that a single component shown in the drawings may represent multiple components.

此外,与空间相关的用词,例如“在……的上方”、“上覆”、“下方”、“上”、“顶部”、“在……的下方”、“下方的”、“之下”、“底部”及类似的用词,在本文使用为了便于描述图式中一个元件或部件与另一个(些)元件或部件之间的关系。除了在图式中绘示的方位外,这些空间相关用词意欲包含使用中或操作中的装置的不同方位。装置可能被转向不同方位(旋转90度或其他方位),且在此使用的空间相关词也可依此对应地解释。当例如以上所列的空间相关的用语被用于描述第一元件相对于第二元件时,第一元件可能直接在另一元件之上,或者可能存在中间的元件或中间层。当一个元件或层被称为“在”另一个元件或层“之上”时,它直接在另一个元件或层之上并与之接触。In addition, words related to space, such as "above", "overlying", "below", "on", "top", "under", "below", "of" "Bottom", "bottom" and similar terms are used herein to facilitate describing the relationship between one element or component and another element or component in the drawings. These spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the drawings. The device may be rotated 90 degrees or at other orientations and the spatially relative terms used herein interpreted accordingly. When spatially relative terms such as those listed above are used to describe a first element relative to a second element, the first element may be directly on the other element or intervening elements or layers may be present. When an element or layer is referred to as being "on" another element or layer, it is directly on and in contact with the other element or layer.

此外,本公开可能在各种范例中重复参考符号及/或标记。这些重复是为了简化与清晰的目的,并非用以限定所讨论的不同实施例及/或结构之间有特定的关系。Additionally, this disclosure may repeat reference symbols and/or labels in various examples. These repetitions are for the purpose of simplicity and clarity and are not intended to limit specific relationships between the different embodiments and/or structures discussed.

应注意的是,说明书中对“一个实施例”、“一实施例”、“一范例性实施例”、“范例性”、“范例”等的引用表示所描述的实施例可能包含特定的部件、结构或特性,但每个实施例不一定都包含特定的部件、结构或特性。此外,这样的语句不一定指代相同的实施例。再者,当结合一实施例描述特定部件、结构或特性时,无论是否明确地描述,结合其他的实施例影响这样的部件、结构或特性将在本领域技术人员的知识范围内。It should be noted that references in the specification to "one embodiment," "an embodiment," "an exemplary embodiment," "exemplary," "example," etc., indicate that the described embodiment may include certain components. , structure or characteristics, but each embodiment does not necessarily contain specific components, structures or characteristics. Furthermore, such statements are not necessarily referring to the same embodiment. Furthermore, when a particular component, structure or characteristic is described in connection with one embodiment, whether or not explicitly described, it will be within the knowledge of one skilled in the art to affect such component, structure or characteristic in connection with other embodiments.

应当理解,本文的用语或术语是为了描述而非限制的目的,使得相关领域的技术人员根据本文的教导来解释本说明书的术语或用语。It is to be understood that the terms or terminology used herein are for the purpose of description and not limitation, so that one skilled in the relevant art can interpret the terms or terminology in the present specification in accordance with the teachings herein.

本文在特定上下文中讨论各种实施例,即,用于形成包含鳍式场效晶体管(fin-like field-effect transistor,FinFET)装置的半导体结构。半导体结构例如可以是互补式金属氧化物半导体(complementary metal-oxide-semiconductor,CMOS)装置,其包含P型金属氧化物半导体(PMOS)FinFET装置和N型金属氧化物半导体(NMOS)FinFET装置。实施例现在将以关于包含FinFET制造程序的特定范例来描述。然而,实施例不限于本文提供的范例,且概念可以在广泛的实施例中实现。因此,各种实施例可应用于其他半导体装置/工艺,例如平面晶体管及类似物。再者,本文所讨论的一些实施例是在使用后栅极工艺(gate-last process)所形成的装置的上下文中讨论的。在其他实施例中,可以使用前栅极工艺(gate-first process)。Various embodiments are discussed herein in the specific context of forming semiconductor structures including fin-like field-effect transistor (FinFET) devices. The semiconductor structure may be, for example, a complementary metal-oxide-semiconductor (CMOS) device, including a P-type metal-oxide-semiconductor (PMOS) FinFET device and an N-type metal-oxide-semiconductor (NMOS) FinFET device. Embodiments will now be described with respect to specific examples involving FinFET fabrication procedures. However, embodiments are not limited to the examples provided herein, and the concepts may be implemented in a wide range of embodiments. Accordingly, various embodiments may be applied to other semiconductor devices/processes, such as planar transistors and the like. Furthermore, some embodiments discussed herein are discussed in the context of devices formed using a gate-last process. In other embodiments, a gate-first process may be used.

虽然图式绘示半导体装置的各种实施例,但是可以在图式中绘示的半导体装置中添加额外的部件,且在半导体装置的其他实施例中,可替换、修改或移除以下描述的一些部件。Although the drawings illustrate various embodiments of semiconductor devices, additional components may be added to the semiconductor devices depicted in the drawings, and features described below may be replaced, modified, or removed in other embodiments of the semiconductor devices. Some parts.

可以在这些实施例中描述的阶段之前、期间和/或之后提供额外的操作。对于不同的实施例,所描述的一些阶段可被替换或消除。额外的部件可被添加于半导体装置结构。对于不同的实施例,以下描述的一些部件可被替换或消除。尽管一些实施例是以特定的顺序执行的操作进行讨论,但是这些操作可以另外的逻辑顺序执行。Additional operations may be provided before, during and/or after the stages described in these embodiments. For different embodiments, some of the stages described may be replaced or eliminated. Additional components may be added to the semiconductor device structure. Some of the components described below may be replaced or eliminated for different embodiments. Although some embodiments are discussed with operations performed in a specific order, the operations may be performed in another logical order.

还应注意,本公开呈现多栅极晶体管形式的实施例。多栅极晶体管包含其栅极结构形成在通道区域的至少两侧之上的晶体管。这些多栅极装置可包含P型金属氧化物半导体装置或N型金属氧化物半导体多栅极装置。由于它们的鳍状结构,具体的范例在本文中可被呈现和被称为FinFET。本文也呈现一种称为全绕式栅极(gate-all-around,GAA)装置的多栅极晶体管的实施例。GAA装置包含其栅极结构或其部分形成在通道区域的四侧(例如,围绕通道区域的一部分)的任何装置。本文呈现的装置也包含具有设置在纳米线通道、条形通道和/或其他合适的通道配置中的通道区域的实施例。本文呈现的是可具有与单一邻接的(contiguous)栅极结构相关联的一或多个通道区域(例如,纳米线)的装置的实施例。然而,一般技术人员将意识到此教导可应用于单一通道(如,单一纳米线)或任何数量的通道。一般技术人员可意识到可受益于本公开的各方面的半导体装置的其他范例。It should also be noted that the present disclosure presents embodiments in the form of multi-gate transistors. Multi-gate transistors include transistors whose gate structures are formed on at least two sides of a channel region. These multi-gate devices may include P-type metal oxide semiconductor devices or N-type metal oxide semiconductor multi-gate devices. Due to their fin-like structure, specific examples can be presented in this article and are called FinFETs. Also presented herein is an embodiment of a multi-gate transistor called a gate-all-around (GAA) device. GAA devices include any device whose gate structure or portions thereof are formed on four sides of a channel region (eg, surrounding a portion of the channel region). Devices presented herein also include embodiments having channel regions disposed in nanowire channels, strip channels, and/or other suitable channel configurations. Presented herein are embodiments of devices that can have one or more channel regions (eg, nanowires) associated with a single contiguous gate structure. However, one of ordinary skill will appreciate that this teaching may be applied to a single channel (eg, a single nanowire) or any number of channels. One of ordinary skill may recognize other examples of semiconductor devices that may benefit from aspects of this disclosure.

图1是绘示包含制造多栅极装置的半导体制造的范例性方法100的流程图。如本文所用,用语“多栅极装置”用于描述具有至少一些设置于装置的至少一个通道的多侧之上的栅极材料的装置(例如,半导体晶体管)。在一些范例中,多栅极装置可称为GAA装置,其具有设置于装置的至少一个通道的至少四侧之上的栅极材料。通道区域可如本文所用称为“纳米线”,其包含各种几何形状(例如,圆柱形、条形)和各种尺寸的通道区域。FIG. 1 is a flowchart illustrating an exemplary method 100 of semiconductor fabrication including fabricating a multi-gate device. As used herein, the term "multi-gate device" is used to describe a device (eg, a semiconductor transistor) having at least some gate material disposed on multiple sides of at least one channel of the device. In some examples, a multi-gate device, which may be referred to as a GAA device, has gate material disposed on at least four sides of at least one channel of the device. Channel regions may be referred to as "nanowires" as used herein, which encompass channel regions of various geometries (eg, cylinders, strips) and various sizes.

图1结合图2A-图2B、图3A-图3B、图4A-图4B、图5A-图5B、图6A-图6B、图7A-图7B、图8A-图8B、图9A-图9B和图10A-图10B进行描述,其根据一些实施例绘示半导体装置200或在制造的各个阶段的结构。方法100仅是一个范例,并非意图将本公开限制于申请专利范围中明确记载的内容之外。可在方法100之前、期间和之后提供额外的步骤,且对于方法100的其他实施例,可移动、替换或消除所描述的一些步骤。可在图式中描绘的半导体装置200中添加附加的部件,且在其他的实施例中可替换、修改或消除以下描述的一些部件。Figure 1 is combined with Figure 2A-Figure 2B, Figure 3A-Figure 3B, Figure 4A-Figure 4B, Figure 5A-Figure 5B, Figure 6A-Figure 6B, Figure 7A-Figure 7B, Figure 8A-Figure 8B, Figure 9A-Figure 9B 10A-10B, which illustrate a semiconductor device 200 or structure at various stages of fabrication according to some embodiments. Method 100 is merely an example, and is not intended to limit the disclosure beyond what is expressly stated in the patent application. Additional steps may be provided before, during, and after method 100, and some of the steps described may be moved, replaced, or eliminated for other embodiments of method 100. Additional components may be added to the semiconductor device 200 depicted in the figures, and some of the components described below may be replaced, modified, or eliminated in other embodiments.

如本文所讨论的其他方法实施例和范例性装置,应当理解,半导体装置的一部分可借由典型的半导体技术工艺流程所制造,因此一些工艺在此仅被简要地描述。此外,范例性的半导体装置可包含各种其他的装置和部件,例如其他类型的装置,像是附加的晶体管、双极接面晶体管(bipolar junction transistor)、电阻器、电容器、电感器、刻度盘、保险丝和/或其他逻辑装置等,但为了更容易理解本公开的概念而被简化。在一些实施例中,范例性的装置包含多个半导体装置(例如,晶体管),包含PFET、NFET等,其可以互连。此外,应当注意,方法100的处理步骤,包含参考图式给出的任何描述,以及本公开中提供的方法和范例性图式的其余部分,仅仅是范例性的,且并非意图将本公开限制于申请专利范围中明确记载的内容之外。As with other method embodiments and exemplary devices discussed herein, it should be understood that portions of semiconductor devices may be fabricated by typical semiconductor technology process flows, and therefore some processes are only briefly described herein. Additionally, exemplary semiconductor devices may include various other devices and components, such as other types of devices, such as additional transistors, bipolar junction transistors, resistors, capacitors, inductors, dials , fuses and/or other logic devices, etc., but are simplified for easier understanding of the concepts of the present disclosure. In some embodiments, an exemplary device includes a plurality of semiconductor devices (eg, transistors), including PFETs, NFETs, etc., which may be interconnected. Furthermore, it should be noted that the process steps of method 100 , including any description given with reference to the drawings, as well as the remainder of the methods and exemplary drawings provided in this disclosure, are exemplary only and are not intended to limit the disclosure. beyond what is explicitly stated in the scope of the patent application.

根据一些实施例,图2A、图3A、图4A、图5A、图6A、图7A、图8A、图9A和图10A是范例性的半导体装置200的等角视图(isometric view),而图2B、图3B、图4B、图5B、图6B、图7B、图8B、图9B和图10B是范例性的半导体装置200在一种范例性的制造过程中沿着第一切口X-X’的一种实施例所对应的剖面侧视图。在一些图式中,可能会省略其中绘示的部件或特征的一些参考符号,以避免混淆其他部件或特征;这是为了便于描绘图式。According to some embodiments, FIGS. 2A, 3A, 4A, 5A, 6A, 7A, 8A, 9A, and 10A are isometric views of an exemplary semiconductor device 200, and FIG. 2B , FIG. 3B, FIG. 4B, FIG. 5B, FIG. 6B, FIG. 7B, FIG. 8B, FIG. 9B, and FIG. 10B are exemplary semiconductor devices 200 along the first slit XX′ during an exemplary manufacturing process. A cross-sectional side view corresponding to an embodiment. In some drawings, some reference signs to illustrated components or features may be omitted to avoid confusing other components or features; this is for convenience in depicting the drawings.

在区块102中,范例性的方法100包含提供基板202。参照图2A和图2B的范例,在区块102的实施例中,提供基板202。在一些实施例中,基板202可以是半导体基板,例如硅基板。基板202可包含各种层,包括形成于半导体基板之上的导电层或绝缘层。基板202可包含根据本领域已知的设计要求的各种掺杂配置。举例来说,可在为不同装置类型(例如,n型场效晶体管(NFET)、p型场效晶体管(PFET)设计的区域中的基板202之上形成不同的掺杂轮廓(例如,n井、p井)。合适的掺杂可包含掺杂剂的离子注入和/或扩散工艺。基板202通常具有隔离部件(例如,浅沟槽隔离(STI)部件)以插入提供不同装置类型的区域。基板202还可包含其他半导体,例如锗、碳化硅(SiC)、硅锗(SiGe)或钻石。或者,基板202可包含化合物半导体和/或合金半导体。再者,基板202可选地包含外延层(epi-layer),可被应变以提高性能,可包含绝缘体上硅(SOI)结构,及/或具有其他合适的增强部件。At block 102 , the exemplary method 100 includes providing a substrate 202 . Referring to the examples of FIGS. 2A and 2B , in an embodiment of block 102 , a substrate 202 is provided. In some embodiments, substrate 202 may be a semiconductor substrate, such as a silicon substrate. Substrate 202 may include various layers, including conductive layers or insulating layers formed over a semiconductor substrate. Substrate 202 may contain various doping configurations according to design requirements known in the art. For example, different doping profiles (eg, n-wells) may be formed over substrate 202 in areas designed for different device types (eg, n-type field effect transistors (NFETs), p-type field effect transistors (PFETs) , p-well). Suitable doping may include ion implantation and/or diffusion processes of dopants. The substrate 202 typically has isolation features (eg, shallow trench isolation (STI) features) to interpose regions providing different device types. The substrate 202 may also include other semiconductors, such as germanium, silicon carbide (SiC), silicon germanium (SiGe), or diamond. Alternatively, the substrate 202 may include compound semiconductors and/or alloy semiconductors. Furthermore, the substrate 202 optionally includes an epitaxial layer (epi-layer), which can be strained to improve performance, can include silicon-on-insulator (SOI) structures, and/or have other suitable reinforcing components.

回到图1,接着,方法100进行到区块104,在基板之上生长一或多个外延层。参考图2A和图2B的范例,在区块104的实施例中,在基板202上方形成外延堆叠204。外延堆叠204包含第一成分的多个外延层206,这些外延层206被第二成分的多个外延层208插入。第一成分和第二成分可以不同。在一实施例中,外延层206是硅锗,而外延层208是硅(Si)。然而,其他实施例也可能包含提供具有不同氧化速率和/或蚀刻选择性的第一成分和第二成分的实施例。在一些实施例中,外延层206包括SiGe且在外延层208包括Si的情况下,外延层208的Si的氧化速率小于外延层206的SiGe的氧化速率。Returning to FIG. 1 , the method 100 then proceeds to block 104 to grow one or more epitaxial layers on the substrate. Referring to the example of FIGS. 2A and 2B , in the embodiment of block 104 , epitaxial stack 204 is formed over substrate 202 . The epitaxial stack 204 includes a plurality of epitaxial layers 206 of a first composition interposed by a plurality of epitaxial layers 208 of a second composition. The first component and the second component can be different. In one embodiment, epitaxial layer 206 is silicon germanium and epitaxial layer 208 is silicon (Si). However, other embodiments may also include embodiments providing first and second components with different oxidation rates and/or etch selectivities. In some embodiments, where epitaxial layer 206 includes SiGe and where epitaxial layer 208 includes Si, the oxidation rate of Si of epitaxial layer 208 is less than the oxidation rate of SiGe of epitaxial layer 206 .

外延层208或其部分可以形成多栅极装置200的通道区域。举例来说,外延层208可被称为“纳米线”,用于形成例如GAA装置的多栅极装置200的通道区域。这些“纳米线”如下所述还用于形成多栅极装置200的部分源极/漏极区域。源极/漏极区域可指的是源极或漏极,单独地或共同地取决于上下文。同样的,如本文所使用的用语,“纳米线”指的是圆柱形以及例如条形的其他构造的半导体层。以下进一步讨论使用外延层208以定义装置的一或多个通道。Epitaxial layer 208 or portions thereof may form channel regions of multi-gate device 200 . For example, the epitaxial layer 208 may be referred to as a "nanowire" used to form the channel region of a multi-gate device 200 such as a GAA device. These "nanowires" are also used to form portions of the source/drain regions of multi-gate device 200 as described below. Source/drain regions may refer to source or drain, individually or collectively, depending on context. Likewise, as the term is used herein, "nanowire" refers to cylindrical as well as other configurations of semiconductor layers, such as strips. The use of epitaxial layer 208 to define one or more channels of the device is discussed further below.

应注意,图2A-图2B和图3A-图3B中绘示外延层206和208中的每一个的四(4)层,这仅用于说明目的,并非意图将本公开限制于权利要求中明确记载的内容之外。可以理解,可在外延堆叠204中形成任意数量的外延层;层数取决于装置200的通道区域所需的数量。在一些实施例中,外延层208的数量在2和10之间。It should be noted that four (4) layers of each of epitaxial layers 206 and 208 are shown in FIGS. 2A-2B and 3A-3B for illustrative purposes only and are not intended to limit the disclosure to the claims. Except for what is clearly stated. It will be appreciated that any number of epitaxial layers may be formed in epitaxial stack 204; the number of layers depends on the number required for the channel area of device 200. In some embodiments, the number of epitaxial layers 208 is between 2 and 10.

在一些实施例中,外延层206具有范围约2~6纳米(nm)的厚度。外延层206的厚度可实质上是均匀的。在一些实施例中,外延层208具有范围约6~12nm的厚度。在一些实施例中,堆叠的外延层208的厚度实质上是均匀的。如以下更详细描述,外延层208可用作后续形成的多栅极装置的通道区域,且其厚度是基于装置性能考量所选择。外延层206可用于为后续形成的多栅极装置界定相邻通道区域之间的间隙距离,及其厚度是基于装置性能考量所选择。In some embodiments, epitaxial layer 206 has a thickness in the range of approximately 2 to 6 nanometers (nm). The thickness of epitaxial layer 206 may be substantially uniform. In some embodiments, epitaxial layer 208 has a thickness in the range of approximately 6 to 12 nm. In some embodiments, the thickness of stacked epitaxial layers 208 is substantially uniform. As described in more detail below, the epitaxial layer 208 may serve as a channel region for a subsequently formed multi-gate device, and its thickness is selected based on device performance considerations. Epitaxial layer 206 may be used to define the gap distance between adjacent channel regions for subsequently formed multi-gate devices, and its thickness is selected based on device performance considerations.

举例来说,堆叠204的层的外延生长可通过分子束外延(MBE)工艺、金属有机化学气相沉积(MOCVD)工艺及/或其他合适的外延生长工艺来执行。在一些实施例中,例如层208的外延生长层包含与基板202相同的材料。在一些实施例中,外延生长层206、208包含与基板202不同的材料。如上所述,在至少一些范例中,外延层206包含外延生长的硅锗(SiGe)层而外延层208包含外延生长的硅(Si)层。或者,在一些实施例中,外延层206、208中的任一个可包含例如锗的其他材料、化合物半导体(例如,碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟)、合金半导体(例如,SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP)或其组合。如所讨论的,可基于提供不同的氧化、蚀刻选择性特性来选择外延层206、208的材料。在各种实施例中,外延层206、208实质上不含掺杂剂(即,具有约0cm-3至约1×1017cm-3的外来掺杂剂浓度),例如,在外延生长过程中没有进行有意的掺杂。For example, epitaxial growth of the layers of stack 204 may be performed by a molecular beam epitaxy (MBE) process, a metal organic chemical vapor deposition (MOCVD) process, and/or other suitable epitaxial growth processes. In some embodiments, epitaxially grown layers, such as layer 208 , include the same material as substrate 202 . In some embodiments, epitaxially grown layers 206, 208 comprise a different material than substrate 202. As described above, in at least some examples, epitaxial layer 206 includes an epitaxially grown silicon germanium (SiGe) layer and epitaxial layer 208 includes an epitaxially grown silicon (Si) layer. Alternatively, in some embodiments, either of epitaxial layers 206, 208 may include other materials such as germanium, compound semiconductors (e.g., silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or or indium antimonide), alloy semiconductors (e.g., SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP and/or GaInAsP), or combinations thereof. As discussed, the materials of the epitaxial layers 206, 208 may be selected based on providing different oxidation and etch selectivity characteristics. In various embodiments, the epitaxial layers 206, 208 are substantially free of dopants (ie, have an extrinsic dopant concentration of about 0 cm −3 to about 1 × 10 17 cm −3 ), e.g., during the epitaxial growth process There is no intentional doping.

接着,方法100进行到区块106,鳍状元件被图案化和形成。参考图2A的范例,在区块106的实施例中,形成从基板202延伸的多个鳍状元件210。在各种实施例中,每个鳍状元件210包含从基板202形成的基板部分,外延堆叠的每个外延层的部分包含外延层206和208。Next, method 100 proceeds to block 106 where fin-like elements are patterned and formed. Referring to the example of FIG. 2A , in the embodiment of block 106 , a plurality of fin-like elements 210 are formed extending from the substrate 202 . In various embodiments, each fin element 210 includes a portion of the substrate formed from the substrate 202 , and the portion of each epitaxial layer of the epitaxial stack includes epitaxial layers 206 and 208 .

鳍状元件210可使用包含光微影和蚀刻工艺的合适工艺所制造。光微影工艺可包含在基板202的上方(例如,在外延堆叠204的上方)形成光阻层,将光阻层暴露于图案,进行曝光后烘烤工艺,将光阻层显影以形成包含光阻层的遮罩元件。在一些实施例中,将光阻层图案化以形成遮罩元件可使用电子束(e-beam)光微影工艺来执行。接着,可使用遮罩元件保护基板202的区域以及在其上形成的层204,而蚀刻工艺通过遮罩层(例如,硬遮罩)在未受保护的区域中形成沟槽,从而留下多个延伸的鳍片。可使用干式蚀刻(例如,反应性离子蚀刻)、湿式蚀刻及/或其他合适的工艺来蚀刻沟槽。沟槽可填充介电材料,形成例如插入鳍片的浅沟槽隔离部件。The fin-shaped element 210 may be fabricated using suitable processes including photolithography and etching processes. The photolithography process may include forming a photoresist layer over the substrate 202 (eg, over the epitaxial stack 204), exposing the photoresist layer to the pattern, performing a post-exposure bake process, and developing the photoresist layer to form a photoresist layer containing The mask component of the resistive layer. In some embodiments, patterning the photoresist layer to form the mask element may be performed using an electron beam (e-beam) photolithography process. Masking elements may then be used to protect areas of the substrate 202 and the layer 204 formed thereon, while the etching process forms trenches in the unprotected areas through the masking layer (eg, a hard mask), leaving much extended fins. The trenches may be etched using dry etching (eg, reactive ion etching), wet etching, and/or other suitable processes. The trenches can be filled with dielectric material, forming shallow trench isolation features such as inserted fins.

在一些实施例中,介电层可包含二氧化硅(SiO2)、氮化硅、氮氧化硅、氟掺杂硅酸盐玻璃(fluorine-doped silicate glass,FSG)、低介电系数(low-κ)介电质、其组合和/或本领域已知的其他合适的材料。在各种范例中,介电层可通过化学气相沉积(CVD)工艺、次大气压化学气相沉积(sub-atmospheric chemical vapor deposition,SACVD)工艺、可流动化学气相沉积工艺、原子层沉积(ALD)工艺、物理气相沉积(PVD)工艺和/或其他合适的过程所沉积。在一些实施例中,在沉积介电层之后,例如可以对装置200进行退火,以提高介电层的品质。在一些实施例中,介电层(以及随后形成的浅沟槽隔离(STI)部件302)可包含多层结构,例如,具有一或多个衬层(liner layers)。In some embodiments, the dielectric layer may include silicon dioxide (SiO 2 ), silicon nitride, silicon oxynitride, fluorine-doped silicate glass (FSG), low dielectric coefficient (low -κ) dielectrics, combinations thereof and/or other suitable materials known in the art. In various examples, the dielectric layer may be formed by a chemical vapor deposition (CVD) process, a sub-atmospheric chemical vapor deposition (SACVD) process, a flowable chemical vapor deposition process, or an atomic layer deposition (ALD) process. , physical vapor deposition (PVD) process and/or other suitable processes. In some embodiments, after depositing the dielectric layer, the device 200 may be annealed, for example, to improve the quality of the dielectric layer. In some embodiments, the dielectric layer (and subsequently formed shallow trench isolation (STI) feature 302) may comprise a multi-layer structure, for example, with one or more liner layers.

在一些实施例中,在形成隔离(STI)部件的一些实施例中,在沉积介电层之后,沉积的介电材料例如通过化学机械研磨(CMP)工艺被减薄和平坦化。CMP工艺可将顶面平坦化从而形成STI部件302。插入鳍状元件的STI部件302是凹陷的。参照图3A的范例,STI部件302凹陷以提供在STI部件302上方延伸的鳍状元件210。在一些实施例中,凹陷工艺可包含干式蚀刻工艺、湿式蚀刻工艺及/或其组合。在一些实施例中,(例如,通过控制蚀刻时间)控制凹陷深度以产生鳍状元件210的暴露上部的期望高度“H”。高度“H”暴露外延堆叠204的每一层。In some embodiments where isolation (STI) features are formed, after depositing the dielectric layer, the deposited dielectric material is thinned and planarized, such as by a chemical mechanical polishing (CMP) process. The CMP process may planarize the top surface to form the STI component 302 . The STI component 302 into which the fin element is inserted is recessed. Referring to the example of FIG. 3A , STI component 302 is recessed to provide fin-like elements 210 extending above STI component 302 . In some embodiments, the recessing process may include a dry etching process, a wet etching process, and/or a combination thereof. In some embodiments, the recess depth is controlled (eg, by controlling etch time) to produce a desired height "H" of the exposed upper portion of fin element 210 . Height "H" exposes each layer of epitaxial stack 204 .

也可使用许多在基板之上形成鳍片的方法的其他实施例,包含例如界定鳍片区域(例如,通过遮罩或隔离区域)和以鳍片的形式外延生长外延堆叠204。在一些实施例中,形成鳍片可包含修整工艺,以缩减鳍片的宽度。修整工艺可包含湿式或干式蚀刻工艺。Many other embodiments of methods of forming fins on a substrate may also be used, including, for example, defining fin areas (eg, by masking or isolating areas) and epitaxially growing the epitaxial stack 204 in the form of fins. In some embodiments, forming the fins may include a trimming process to reduce the width of the fins. The trimming process may include a wet or dry etching process.

接着,方法100进行到区块108,形成牺牲层/部件,特别是虚设栅极结构。虽然现在的讨论针对的是一种替代栅极工艺,由此形成虚设栅极结构并随后被替代,但是其他的配置也是可能的。Next, method 100 proceeds to block 108 to form sacrificial layers/features, specifically dummy gate structures. Although the present discussion is directed to a replacement gate process whereby a dummy gate structure is formed and subsequently replaced, other configurations are possible.

参照图3A与图3B,形成栅极堆叠304。在一实施例中,栅极堆叠304是虚设(牺牲)栅极堆叠,其随后如参照方法100的区块108所讨论的被移除。Referring to FIGS. 3A and 3B , a gate stack 304 is formed. In one embodiment, gate stack 304 is a dummy (sacrificial) gate stack that is subsequently removed as discussed with reference to block 108 of method 100 .

因此,在使用后栅极工艺的一些实施例中,栅极堆叠304是虚设栅极堆叠并将在装置200的后续处理阶段被最终栅极堆叠所替换。特别地,栅极堆叠304可如下所述在后续的处理阶段由高介电系数(high-κ)介电层(HK)和金属闸电极(MG)代替。在一些实施例中,栅极堆叠304形成于基板202的上方并且至少部分地设置于鳍状元件210的上方。栅极堆叠304下方的鳍状元件210的部分可称为通道区域。栅极堆叠304还可界定鳍状元件210的源极/漏极区域,例如,鳍片和外延堆叠204与通道区域相邻并位于通道区域的相对侧的区域。Therefore, in some embodiments using a gate-last process, gate stack 304 is a dummy gate stack and will be replaced by the final gate stack during subsequent processing stages of device 200 . In particular, gate stack 304 may be replaced by a high-k dielectric layer (HK) and a metal gate electrode (MG) in subsequent processing stages as described below. In some embodiments, gate stack 304 is formed over substrate 202 and disposed at least partially over fin elements 210 . The portion of fin element 210 below gate stack 304 may be referred to as the channel region. Gate stack 304 may also define the source/drain regions of fin element 210, such as the region of fin and epitaxial stack 204 adjacent and on opposite sides of the channel region.

在一些实施例中,栅极堆叠304包含介电层和虚设电极层。栅极堆叠304也可包含一或多个硬遮罩层(例如,氧化物、氮化物)。在一些实施例中,栅极堆叠304通过各种工艺步骤形成,例如层沉积、图案化、蚀刻及其他合适的工艺步骤。范例性的层沉积工艺包含化学气相沉积(包含低压化学气相沉积和等离子体辅助化学气相沉积)、物理气相沉积、原子层沉积、热氧化、电子束蒸发、其他合适的沉积技术或其组合。以形成栅极堆叠为例,图案化工艺包含微影工艺(如光微影或电子束微影),其可进一步包含光阻涂布(例如,旋转涂布)、软烘烤、遮罩对准、曝光、曝光后烘烤、光阻显影、冲洗、干燥(例如旋转干燥和/或硬烘烤)、其他合适的微影技术及/或其组合。在一些实施例中,蚀刻工艺可包含干式蚀刻(例如,RIE蚀刻)、湿式蚀刻及/或其他蚀刻方法。In some embodiments, gate stack 304 includes a dielectric layer and a dummy electrode layer. Gate stack 304 may also include one or more hard mask layers (eg, oxide, nitride). In some embodiments, gate stack 304 is formed through various process steps, such as layer deposition, patterning, etching, and other suitable process steps. Exemplary layer deposition processes include chemical vapor deposition (including low pressure chemical vapor deposition and plasma-assisted chemical vapor deposition), physical vapor deposition, atomic layer deposition, thermal oxidation, electron beam evaporation, other suitable deposition techniques, or combinations thereof. Taking the formation of a gate stack as an example, the patterning process includes a lithography process (such as photolithography or electron beam lithography), which may further include photoresist coating (such as spin coating), soft baking, and masking. alignment, exposure, post-exposure baking, photoresist development, rinsing, drying (such as spin drying and/or hard baking), other suitable lithography techniques, and/or combinations thereof. In some embodiments, the etching process may include dry etching (eg, RIE etching), wet etching, and/or other etching methods.

如上所述,栅极堆叠304可包含额外的栅极介电层。举例来说,栅极堆叠304可包含氧化硅。备选地或附加地,栅极堆叠304的栅极介电层可包含氮化硅、高介电系数介电材料或其他合适的材料。在一些实施例中,栅极堆叠304的电极层可包含多晶硅(polysilicon)。硬遮罩层,例如SiO2、Si3N4、氮氧化硅,可选地包含碳化硅及/或也可包含其他合适的成分。As discussed above, gate stack 304 may include additional gate dielectric layers. For example, gate stack 304 may include silicon oxide. Alternatively or additionally, the gate dielectric layer of gate stack 304 may include silicon nitride, a high-k dielectric material, or other suitable materials. In some embodiments, the electrode layer of the gate stack 304 may include polysilicon. Hard mask layers, such as SiO 2 , Si 3 N 4 , silicon oxynitride, optionally contain silicon carbide and/or may also contain other suitable components.

接着,方法100进行到区块110,在基板之上沉积间隔物材料层。参考图4A和图4B的范例,间隔物材料层402设置于基板202之上。间隔物材料层402可包含介电材料,例如氧化硅、氮化硅、碳化硅、氮氧化硅、SiCN膜、碳氧化硅、SiOCN膜及/或其组合。在一些实施例中,间隔物材料层402包含多层,例如主间隔壁、衬层及类似物。举例来说,间隔物材料层402可通过栅极堆叠304的上方沉积介电材料所形成,其使用例如CVD工艺、次大气压CVD(SACVD)工艺、可流动CVD工艺、ALD工艺、PVD工艺或其他合适工艺。应注意的是,间隔物材料层402在图4A和图4B中被绘示为覆盖外延堆叠204。Next, the method 100 proceeds to block 110 to deposit a layer of spacer material over the substrate. Referring to the example of FIGS. 4A and 4B , a spacer material layer 402 is disposed on the substrate 202 . The spacer material layer 402 may include a dielectric material such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, SiCN film, silicon oxycarbide, SiOCN film, and/or combinations thereof. In some embodiments, spacer material layer 402 includes multiple layers, such as primary spacers, liner layers, and the like. For example, the spacer material layer 402 may be formed by depositing a dielectric material over the gate stack 304 using, for example, a CVD process, a sub-atmospheric CVD (SACVD) process, a flowable CVD process, an ALD process, a PVD process, or other processes. Suitable process. It should be noted that the spacer material layer 402 is shown covering the epitaxial stack 204 in FIGS. 4A and 4B.

在一些实施例中,沉积间隔物材料层之后是(例如,异向性地)回蚀介电间隔物材料。参考范例,参照图5A、图5B的范例,在形成间隔物材料层402之后,间隔物材料层402可被回蚀以暴露鳍状元件210与栅极堆叠304相邻但未被栅极堆叠304覆盖的部分(例如,源极/漏极区域)。间隔物材料层可保留在形成间隔物元件的栅极堆叠304的侧壁之上。在一些实施例中,间隔物材料层402的回蚀可包含湿式蚀刻工艺、干式蚀刻工艺、多步骤蚀刻工艺及/或其组合。如图5A和图5B所示,可将间隔物材料层402从暴露的外延堆叠204的顶面和暴露的外延堆叠204的侧面移除。In some embodiments, depositing the layer of spacer material is followed by (eg, anisotropically) etching back the dielectric spacer material. Referring to the examples of FIGS. 5A and 5B , after the spacer material layer 402 is formed, the spacer material layer 402 may be etched back to expose the fin element 210 adjacent to the gate stack 304 but not the gate stack 304 Covered portions (e.g., source/drain regions). A layer of spacer material may remain over the sidewalls of the gate stack 304 forming the spacer elements. In some embodiments, the etch back of the spacer material layer 402 may include a wet etching process, a dry etching process, a multi-step etching process, and/or a combination thereof. As shown in FIGS. 5A and 5B , the layer of spacer material 402 may be removed from the top surface of the exposed epitaxial stack 204 and the sides of the exposed epitaxial stack 204 .

接着,方法100进行到区块112,执行氧化工艺。由于外延堆叠204的多层的不同氧化速率,氧化过程可被称为选择性氧化,特定的层被氧化。在一些范例中,氧化工艺可通过将装置200暴露于湿式氧化工艺、干式氧化工艺或其组合来执行。在至少一些实施例中,装置200暴露于湿式氧化工艺,其使用水蒸汽或蒸汽作为氧化剂,在约1ATM的压力下,在约400~600℃的温度范围内,时间为约0.5~2小时。应注意的是,本文提供的氧化工艺条件仅是范例性的,并非用于限制。应注意的是,在一些实施例中,此氧化工艺可延伸使得堆叠的外延层的氧化部分邻接栅极堆叠304的侧壁。Next, the method 100 proceeds to block 112 to perform an oxidation process. Due to the different oxidation rates of the multiple layers of the epitaxial stack 204, the oxidation process may be referred to as selective oxidation, with specific layers being oxidized. In some examples, the oxidation process may be performed by exposing device 200 to a wet oxidation process, a dry oxidation process, or a combination thereof. In at least some embodiments, the apparatus 200 is exposed to a wet oxidation process using water vapor or steam as the oxidant at a pressure of about 1 ATM and a temperature range of about 400 to 600° C. for about 0.5 to 2 hours. It should be noted that the oxidation process conditions provided herein are exemplary only and are not intended to be limiting. It should be noted that in some embodiments, this oxidation process may be extended such that the oxidized portions of the stacked epitaxial layers abut the sidewalls of the gate stack 304 .

参照图6A与图6B的范例,在区块112的实施例中,装置200暴露于氧化工艺,氧化工艺完全地氧化多个鳍状元件210中的每一个的外延层206。外延层206转变成氧化层602。氧化层602延伸到栅极堆叠304,包含延伸到间隔物元件402下方。在一些实施例中,氧化层602具有范围约5至约25纳米(nm)的厚度。在一实施例中,氧化层602可包含硅锗氧化物(SiGeOx)。Referring to the example of FIGS. 6A and 6B , in the embodiment of block 112 , the device 200 is exposed to an oxidation process that completely oxidizes the epitaxial layer 206 of each of the plurality of fin elements 210 . Epitaxial layer 206 transforms into oxide layer 602. Oxide layer 602 extends to gate stack 304 , including under spacer elements 402 . In some embodiments, oxide layer 602 has a thickness in the range of about 5 to about 25 nanometers (nm). In one embodiment, oxide layer 602 may include silicon germanium oxide (SiGeOx).

举例来说,在外延层206包含SiGe且外延层208包含Si的实施例中,更快的(即,与Si相比)SiGe氧化速率确保外延层206被完全氧化,同时最小化或消除其他外延层208的氧化。应当理解,可为第一外延层和第二外延层部分中的每一个选择以上讨论的多种材料中的任何一种,以提供不同的合适的氧化速率。For example, in embodiments where epitaxial layer 206 includes SiGe and epitaxial layer 208 includes Si, a faster (i.e., compared to Si) SiGe oxidation rate ensures that epitaxial layer 206 is fully oxidized while minimizing or eliminating other epitaxial Oxidation of layer 208. It should be understood that any of the various materials discussed above may be selected for each of the first epitaxial layer and the second epitaxial layer portion to provide different suitable oxidation rates.

接着,方法100进行到区块114,在基板之上形成源极/漏极部件。源极/漏极部件可通过在源极/漏极区域中的鳍状元件210之上提供外延材料执行外延生长工艺所形成。在一实施例中,源极/漏极的外延材料形成为覆盖外延层保留在鳍片的源极/漏极区域中的部分。参照图7A和图7B的范例,源极/漏极部件702形成于基板202之上,在鳍状元件210中/之上,与栅极堆叠304相邻并相关联。源极/漏极部件702包含通过在暴露的外延层208和/或氧化层602之上外延生长半导体材料所形成的材料。应注意的是,源极/漏极部件702的形状仅是说明性的而并非限制性的;如本领域一般技术人员所理解,任何外延生长将发生在半导体材料(例如,208)之上而不是介电材料(例如,602)之上,外延生长可如图所示生长为使得其合并在介电层(例如,602的上方)。Next, the method 100 proceeds to block 114 to form source/drain features on the substrate. The source/drain features may be formed by performing an epitaxial growth process by providing epitaxial material over the fin elements 210 in the source/drain regions. In one embodiment, the source/drain epitaxial material is formed to cover the portion of the epitaxial layer that remains in the source/drain region of the fin. Referring to the example of FIGS. 7A and 7B , source/drain features 702 are formed on substrate 202 in/on fin elements 210 adjacent and associated with gate stack 304 . Source/drain features 702 include material formed by epitaxial growth of semiconductor material over exposed epitaxial layer 208 and/or oxide layer 602 . It should be noted that the shape of source/drain features 702 is illustrative only and not limiting; as one of ordinary skill in the art understands, any epitaxial growth will occur on the semiconductor material (eg, 208) and Instead of being over the dielectric material (eg, 602), the epitaxial growth can be grown as shown so that it merges with the dielectric layer (eg, over 602).

在各种实施例中,源极/漏极部件702的生长半导体材料可包含Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他合适的材料。在一些实施例中,源极/漏极部件702的材料可在外延工艺期间被原位(in-situ)掺杂。举例来说,在一些实施例中,外延生长的材料可掺杂硼。在一些实施例中,外延生长的材料可掺杂碳以形成Si:C源极/漏极部件,掺杂磷以形成Si:P源极/漏极部件,或掺杂碳和磷以形成SiCP源极/漏极部件。在一实施例中,源极/漏极部件702的外延材料是硅,层208也是硅。在一些实施例中,层702和208可包含相似的材料(例如,Si),但是被不同地掺杂。在其他实施例中,用于源极/漏极部件702的外延层包含第一半导体材料,外延生长材料208包含不同于第一半导体材料的第二半导体材料。在一些实施例中,源极/漏极部件702的外延生长材料未被原位掺杂,而是例如执行植入工艺。In various embodiments, the grown semiconductor material of source/drain features 702 may include Ge, Si, GaAs, AlGaAs, SiGe, GaAsP, SiP, or other suitable materials. In some embodiments, the material of source/drain features 702 may be doped in-situ during the epitaxial process. For example, in some embodiments, the epitaxially grown material may be doped with boron. In some embodiments, the epitaxially grown material may be doped with carbon to form Si:C source/drain features, with phosphorus to form Si:P source/drain features, or with carbon and phosphorus to form SiCP Source/drain components. In one embodiment, the epitaxial material of source/drain features 702 is silicon and layer 208 is also silicon. In some embodiments, layers 702 and 208 may include similar materials (eg, Si), but be doped differently. In other embodiments, the epitaxial layer for source/drain features 702 includes a first semiconductor material and the epitaxial growth material 208 includes a second semiconductor material that is different from the first semiconductor material. In some embodiments, the epitaxially grown material of source/drain features 702 is not doped in situ, but rather, for example, an implantation process is performed.

接着,方法100进行到区块116,在基板之上形成层间介电(inter-layerdielectric,ILD)层。参照图8A和图8B的范例,在区块116的实施例中,层间介电层802形成于基板202的上方。在一些实施例中,在形成ILD层802之前,在基板202的上方形成接触蚀刻停止层(contact etch stop layer,CESL)。在一些范例中,CESL包含氮化硅层、氧化硅层、氮氧化硅层及/或本领域已知的其他材料。CESL可通过等离子体辅助化学气相沉积(PECVD)工艺及/或其他合适的沉积或氧化工艺所形成。在一些实施例中,ILD层802包含例如四乙基原硅酸盐(tetraethylorthosilicate,TEOS)氧化物、未掺杂的硅酸盐玻璃或掺杂的氧化硅(例如,硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fusedsilica glass,FSG)、磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼掺杂硅玻璃(borondoped silicon glass,BSG))及/或其他合适的介电材料。ILD层802可通过PECVD工艺或其他合适的沉积技术所沉积。在一些实施例中,在形成ILD层802之后,半导体装置200可受到高热预算工艺(high thermal budget process)以使ILD层退火。Next, the method 100 proceeds to block 116 to form an inter-layer dielectric (ILD) layer on the substrate. Referring to the examples of FIGS. 8A and 8B , in the embodiment of block 116 , an interlayer dielectric layer 802 is formed over the substrate 202 . In some embodiments, before forming the ILD layer 802, a contact etch stop layer (CESL) is formed over the substrate 202. In some examples, CESL includes a silicon nitride layer, a silicon oxide layer, a silicon oxynitride layer, and/or other materials known in the art. CESL can be formed by a plasma-assisted chemical vapor deposition (PECVD) process and/or other suitable deposition or oxidation processes. In some embodiments, ILD layer 802 includes, for example, tetraethylorthosilicate (TEOS) oxide, undoped silicate glass, or doped silicon oxide (eg, borophosphosilicate glass ( borophosphosilicate glass (BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG)) and/or other suitable dielectric materials . ILD layer 802 may be deposited by a PECVD process or other suitable deposition technique. In some embodiments, after forming the ILD layer 802, the semiconductor device 200 may be subjected to a high thermal budget process to anneal the ILD layer.

在一些范例中,在沉积ILD(及/或CESL或其他介电层)之后,可执行平坦化工艺以暴露栅极堆叠304的顶面。举例来说,平坦化工艺包含化学机械平坦化(chemicalmechanical planarization,CMP)工艺,其将ILD层802(和CESL层,如果存在的话)覆盖于栅极堆叠304的部分移除并且平坦化半导体装置200的顶面。In some examples, after depositing the ILD (and/or CESL or other dielectric layer), a planarization process may be performed to expose the top surface of gate stack 304. For example, the planarization process includes a chemical mechanical planarization (CMP) process, which removes portions of the ILD layer 802 (and CESL layer, if present) covering the gate stack 304 and planarizes the semiconductor device 200 the top surface.

接着,方法100进行到区块118,将虚设栅极(见区块108)移除。可通过合适的蚀刻工艺将栅极和/或栅极介电层移除。在一些实施例中,区块118还包含选择性移除装置的通道区域中的外延层。在实施例中,在通过将虚设电极移除所提供的沟槽(例如,将在其上和上方形成栅极结构的鳍片区域,或通道区域)内的鳍状元件中将选定的外延层移除。参照图9A和图9B的范例,外延层206被从基板202的通道区域和沟槽内移除。在一些实施例中,通过选择性湿式蚀刻工艺将外延层206移除。在一些实施例中,选择性湿式蚀刻包含HF。在一实施例中,外延层206是SiGe且外延层208是硅,其允许选择性地将SiGe外延层206移除。Next, the method 100 proceeds to block 118 to remove the dummy gate (see block 108). The gate and/or gate dielectric layer may be removed by a suitable etching process. In some embodiments, block 118 also includes selectively removing the epitaxial layer in the channel region of the device. In embodiments, selected epitaxial elements are placed in the fin elements within the trenches provided by removing the dummy electrodes (e.g., the fin regions over and above which the gate structures will be formed, or the channel regions). Layer removed. Referring to the example of FIGS. 9A and 9B , the epitaxial layer 206 is removed from the channel regions and trenches of the substrate 202 . In some embodiments, epitaxial layer 206 is removed through a selective wet etching process. In some embodiments, the selective wet etch includes HF. In one embodiment, epitaxial layer 206 is SiGe and epitaxial layer 208 is silicon, which allows for selective removal of SiGe epitaxial layer 206.

接着,方法100进行到区块120,形成栅极结构。栅极结构可以是多栅极晶体管的栅极。最终的栅极结构可以是高介电系数/金属栅极堆叠,但是其他成分也是可能的。在一些实施例中,栅极结构形成与由通道区域中的多条纳米线(现在其间具有间隙)所提供的多通道相关联的栅极。将更详细地讨论栅极结构的范例性实施例。Next, the method 100 proceeds to block 120 to form a gate structure. The gate structure may be the gate of a multi-gate transistor. The final gate structure may be a high-k/metal gate stack, but other compositions are possible. In some embodiments, the gate structure forms a gate associated with multiple channels provided by a plurality of nanowires in the channel region, now with gaps therebetween. Exemplary embodiments of gate structures will be discussed in more detail.

参照图10A与图10B的范例,在区块120的一实施例中,高介电系数/金属栅极堆叠1002形成于装置200的沟槽内,沟槽由将虚设栅极移除及/或释放纳米线所提供,如上参考区块118所述。在各种实施例中,高介电系数/金属栅极堆叠1002包含界面层、形成于界面层上方的高介电系数栅极介电层1004及/或形成于高介电系数栅极介电层1004上方的金属层1006。如本文所使用和描述,高介电系数栅极介电层包含具有高介电系数的介电材料,例如,大于热氧化硅的介电系数(~3.9)。在高介电系数/金属栅极堆叠内使用的金属层可包含金属、金属合金或金属硅化物。另外,高介电系数/金属栅极堆叠的形成可包含沉积以形成各种栅极材料、一或多个衬层,一或多个CMP工艺以将多余的栅极材料移除,从而将半导体装置200的顶面平坦化。Referring to the examples of FIGS. 10A and 10B , in one embodiment of block 120 , high-k/metal gate stack 1002 is formed in a trench of device 200 by removing the dummy gate and/or Release the nanowire provided as described above with reference to block 118. In various embodiments, high-k/metal gate stack 1002 includes an interface layer, a high-k gate dielectric layer 1004 formed over the interface layer, and/or a high-k gate dielectric layer 1004 formed over the interface layer. Metal layer 1006 above layer 1004. As used and described herein, a high-k gate dielectric layer includes a dielectric material having a high dielectric constant, for example, greater than the dielectric constant of thermal oxide silicon (~3.9). The metal layers used within the high-k/metal gate stack may include metals, metal alloys, or metal silicides. Additionally, formation of the high-k/metal gate stack may include deposition to form various gate materials, one or more liner layers, and one or more CMP processes to remove excess gate material to remove the semiconductor. The top surface of device 200 is planarized.

在一些实施例中,栅极堆叠1002的界面层可包含介电材料,例如氧化硅(SiO2)、HfSiO或氮氧化硅(SiON)。界面层可以通过化学氧化、热氧化、原子层沉积(ALD)、化学气相沉积(CVD)及/或其他合适的方法所形成。栅极堆叠1002的栅极介电层1004可包含高介电系数介电层,例如氧化铪(HfO2)。或者,栅极堆叠1002的栅极介电层1004可包含其他高介电系数介电质,例如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化物(例如,SiON)、其组合或其他合适的材料。高介电系数栅极介电层1004可通过ALD、物理气相沉积(PVD)、CVD、氧化及/或其他合适的方法所形成。高介电系数/金属栅极堆叠1002的金属层可包含单层或多层结构,例如具有选定的功函数的金属层以增强装置性能(功函数金属层)、衬层、润湿层、粘着层、金属合金或金属硅化物的各种组合。举例来说,栅极堆叠1002的金属层可包含Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、Ir、Co、Ni、其他合适的金属材料或其组合。在各种实施例中,栅极堆叠1002的金属层可通过ALD、PVD、CVD、电子束蒸镀或其他合适的工艺所形成。再者,可分别形成栅极堆叠1002的金属层用于可使用不同金属层的N-FET和P-FET晶体管。在各种实施例中,可执行CMP工艺以将多余的金属从栅极堆叠1002的金属层移除,从而提供栅极堆叠1002的金属层实质上平坦的顶面。栅极堆叠1002的金属层1006在图10A和图10B中绘示。此外,金属层可提供N型或P型功函数,可用作晶体管(例如,FinFET)闸电极,且在至少一些实施例中,栅极堆叠1002的金属层可包含多晶硅层。栅极结构1002包含插入每个外延层306的部分,其每个形成多栅极装置200的通道。In some embodiments, the interface layer of gate stack 1002 may include a dielectric material such as silicon oxide (SiO 2 ), HfSiO, or silicon oxynitride (SiON). The interface layer can be formed by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD) and/or other suitable methods. Gate dielectric layer 1004 of gate stack 1002 may include a high-k dielectric layer, such as hafnium oxide (HfO 2 ). Alternatively, the gate dielectric layer 1004 of the gate stack 1002 may include other high-k dielectrics, such as TiO 2 , HfZrO, Ta 2 O 3 , HfSiO 4 , ZrO 2 , ZrSiO 2 , LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba, Sr)TiO 3 (BST) , Al 2 O 3 , Si 3 N 4 , oxynitride (eg, SiON), combinations thereof, or other suitable materials. The high-k gate dielectric layer 1004 may be formed by ALD, physical vapor deposition (PVD), CVD, oxidation, and/or other suitable methods. The metal layers of the high-k/metal gate stack 1002 may include single-layer or multi-layer structures, such as metal layers with selected work functions to enhance device performance (work function metal layers), liner layers, wetting layers, Various combinations of adhesive layers, metal alloys or metal silicides. For example, the metal layer of gate stack 1002 may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, Re, Ir , Co, Ni, other suitable metal materials or combinations thereof. In various embodiments, the metal layer of gate stack 1002 may be formed by ALD, PVD, CVD, electron beam evaporation, or other suitable processes. Furthermore, metal layers of gate stack 1002 may be formed separately for N-FET and P-FET transistors that may use different metal layers. In various embodiments, a CMP process may be performed to remove excess metal from the metal layer of gate stack 1002 to provide a substantially planar top surface of the metal layer of gate stack 1002 . Metal layer 1006 of gate stack 1002 is illustrated in Figures 10A and 10B. Additionally, the metal layer can provide an N-type or P-type work function and can be used as a transistor (eg, FinFET) gate electrode, and in at least some embodiments, the metal layer of gate stack 1002 can include a polysilicon layer. Gate structure 1002 includes portions inserted into each epitaxial layer 306 , each of which forms a channel of multi-gate device 200 .

在一些实施例中,抗反应层可包含于栅极堆叠1002中以防止氧化。在一些实施例中,抗反应层可包含介电材料。在一些实施例中,抗反应层可包含硅基(silicon-based)材料。在一些实施例中,抗反应层可包含硅(Si)、氧化硅(SiOx)、氮化硅(SiN)、氮氧化硅(SiON)、碳氮化硅(SiCN)、碳化硅(SiC)、其组合或其多层或类似物。然而,可以使用任何合适的材料。抗反应层可通过使用例如ALD、CVD、PVD等沉积工艺顺应性地沉积。抗反应层可被沉积至约0.3nm至约5nm的厚度。In some embodiments, an anti-reaction layer may be included in gate stack 1002 to prevent oxidation. In some embodiments, the anti-reaction layer may include a dielectric material. In some embodiments, the anti-reaction layer may include silicon-based materials. In some embodiments, the anti-reaction layer may include silicon (Si), silicon oxide (SiO x ), silicon nitride (SiN), silicon oxynitride (SiON), silicon carbonitride (SiCN), silicon carbide (SiC) , combinations thereof or multiple layers thereof or the like. However, any suitable material may be used. The anti-reaction layer can be conformally deposited using deposition processes such as ALD, CVD, PVD, and the like. The anti-reaction layer may be deposited to a thickness of about 0.3 nm to about 5 nm.

在一些实施例中,胶层可包含于栅极堆叠1002中。胶层可包含任何可接受的材料以促进粘着并防止扩散。举例来说,胶层可由金属或金属氮化物所形成,例如氮化钛、铝化钛、氮化钛铝、掺杂硅的氮化钛、氮化钽或类似物,其可通过ALD、CVD、PVD所类似的工艺所沉积。In some embodiments, a glue layer may be included in the gate stack 1002 . The glue line may contain any acceptable material to promote adhesion and prevent spreading. For example, the glue layer can be formed of metal or metal nitride, such as titanium nitride, titanium aluminum, titanium aluminum nitride, silicon-doped titanium nitride, tantalum nitride or the like, which can be formed by ALD, CVD , deposited by a process similar to PVD.

在一实施例中,栅极结构包含高介电系数介电层、位于高介电系数介电层上方的p型功函数层、位于p型功函数层上方的n型功函数层、位于n型功函数层上方的抗反应层以及位于抗反应层上方的胶层。栅极结构可包含不同的或额外的层,或者可省略前述讨论的层。栅极结构的层也可以不同的顺序沉积。额外的层可包含阻挡层、扩散层、粘着层、其组合或其多层或类似物。在一些实施例中,额外的层可包含含氯(Cl)或类似物的材料。额外的层可通过ALD、CVD、PVD或类似的工艺所沉积。In one embodiment, the gate structure includes a high-k dielectric layer, a p-type work function layer located above the high-k dielectric layer, an n-type work function layer located above the p-type work function layer, and an n-type work function layer located above the high-k dielectric layer. an anti-reaction layer above the type work function layer and a glue layer above the anti-reaction layer. The gate structure may include different or additional layers, or the previously discussed layers may be omitted. The layers of the gate structure can also be deposited in different sequences. Additional layers may include barrier layers, diffusion layers, adhesion layers, combinations thereof, or multiple layers thereof, or the like. In some embodiments, additional layers may include chlorine (Cl) or similar materials. Additional layers may be deposited by ALD, CVD, PVD or similar processes.

接着,方法100进行到区块122,执行进一步的制造。半导体装置可经历进一步的处理,以形成本领域已知的各种部件和区域。举例来说,后续的处理可能会形成接触开口、接触金属、以及基板上的各种接点/通孔/线路及多层互连部件(例如,金属层和层间介电层),配置为连接各种部件以形成可包含一或多个多栅极装置的功能电路。在进一步的范例中,多层互连可包含垂直互连(例如,通孔或接点)及水平互连(例如,金属线)。各种互连部件可采用各种导电材料,包含铜、钨及/或硅化物。在一范例中,使用镶嵌及/或双镶嵌工艺以形成与铜相关的多层互连结构。此外,可在方法100之前、期间和之后实施额外的工艺步骤,并且可根据方法100的各种实施例替换或移除前述的一些工艺步骤。The method 100 then proceeds to block 122 to perform further fabrication. The semiconductor device may undergo further processing to form various features and regions known in the art. For example, subsequent processing may form contact openings, contact metals, and various contacts/vias/lines and multi-layer interconnect features (e.g., metal layers and interlayer dielectric layers) on the substrate configured to connect Various components are used to form functional circuits that may include one or more multi-gate devices. In further examples, multi-level interconnects may include vertical interconnects (eg, vias or contacts) and horizontal interconnects (eg, metal lines). Various interconnect components may be made from a variety of conductive materials, including copper, tungsten, and/or silicide. In one example, damascene and/or dual damascene processes are used to form copper-related multi-layer interconnect structures. Additionally, additional process steps may be performed before, during, and after method 100 , and some of the foregoing process steps may be replaced or removed according to various embodiments of method 100 .

图11是绘示在形成金属栅极结构之后进一步的半导体制造的范例方法1100的流程图,包含制造一个连续的金属盖,用于与随后制造的通孔栅极导体一起使用。图11结合图12A~图12G进行描述,其根据一些实施例绘示处于不同制造阶段的半导体装置或结构。方法1100仅仅是一个范例,并非意图将本公开限制于申请专利范围中明确记载的内容之外。可在方法1100之前、期间和之后提供额外的步骤,且对于方法1100的其他实施例,可移动、替换或删除所描述的这些步骤中的一些步骤。可在图式中描绘的半导体装置中加入额外的部件,并且在其他实施例中可替换、修改或移除以下描述的一些部件。11 is a flow diagram illustrating an example method 1100 of further semiconductor fabrication after forming a metal gate structure, including fabricating a continuous metal cap for use with subsequently fabricated via gate conductors. 11 is described in conjunction with FIGS. 12A-12G, which illustrates a semiconductor device or structure in different manufacturing stages according to some embodiments. Method 1100 is merely an example and is not intended to limit the disclosure beyond what is expressly stated in the claimed scope. Additional steps may be provided before, during, and after method 1100, and some of the steps described may be moved, replaced, or deleted for other embodiments of method 1100. Additional components may be added to the semiconductor devices depicted in the drawings, and some of the components described below may be replaced, modified, or removed in other embodiments.

图12A~图12G是根据一些实施例描绘在金属栅极堆叠的上方制造金属盖的各个阶段的范例性栅极结构1200(类似于图2B~图10B中所示的顶部)的放大图的图。在一些图式中,可能会省略其中绘示的部件或特征的一些参考符号,以避免混淆其他部件或特征;这是为了便于描绘图式。12A-12G are diagrams depicting enlarged views of an exemplary gate structure 1200 (similar to the top shown in FIGS. 2B-10B ) at various stages of fabricating a metal cap over a metal gate stack, in accordance with some embodiments. . In some drawings, some reference signs to illustrated components or features may be omitted to avoid confusing other components or features; this is for convenience in depicting the drawings.

金属盖可形成于金属栅极结构的上方作为用于将通孔栅极(VG)导体连接到金属栅极结构的媒介。与将VG导体直接连接到金属栅极结构相比,使用金属盖将VG导体连接到金属栅极结构可降低栅极电阻(Rg)。因此,使用金属盖可提高装置性能。A metal cap may be formed over the metal gate structure as an intermediary for connecting via gate (VG) conductors to the metal gate structure. Using a metal cap to connect the VG conductor to the metal gate structure reduces the gate resistance (Rg) compared to connecting the VG conductor directly to the metal gate structure. Therefore, using a metal cover improves the performance of the unit.

抗反应层可包含于金属栅极结构中,以防止P-金属和N-金属氧化并提高装置性能。然而,抗反应层可能阻碍在金属栅极结构的上方形成金属盖。方法1100呈现用于在金属栅极结构的上方形成金属盖而没有阻碍金属盖的形成的抗反应层,以及还用于具有约3~4nm的金属盖厚度的栅极高度缩放的范例性工艺。Anti-reaction layers can be included in metal gate structures to prevent P-metal and N-metal oxidation and improve device performance. However, the anti-reaction layer may hinder the formation of a metal cap over the metal gate structure. Method 1100 presents an exemplary process for forming a metal cap over a metal gate structure without an anti-reactive layer impeding the formation of the metal cap, and also for gate height scaling with a metal cap thickness of about 3-4 nm.

在区块1102,范例性方法1100包含接收栅极结构,栅极结构具有高介电系数介电层、位于高介电系数介电层上方的p型功函数层、位于p型功函数层上方的n型功函数层、位于n型功函数层上方的抗反应层及位于抗反应层之上的胶层。At block 1102 , the exemplary method 1100 includes receiving a gate structure having a high-k dielectric layer, a p-type work function layer over the high-k dielectric layer, and a p-type work function layer over the p-type work function layer. An n-type work function layer, an anti-reaction layer located above the n-type work function layer, and a glue layer located above the anti-reaction layer.

在区块1104,栅极结构的顶层使用平坦化工艺进行平坦化,以通过将多余的材料移除创建水平表面。平坦化工艺可以是例如化学机械研磨(CMP)工艺、回蚀工艺、其组合或类似的工艺。At block 1104, the top layer of the gate structure is planarized using a planarization process to create a horizontal surface by removing excess material. The planarization process may be, for example, a chemical mechanical polishing (CMP) process, an etch-back process, a combination thereof, or similar processes.

图12A绘示在金属栅极(MG)形成之后且在区块1104中完成平坦化工艺之后的范例性栅极结构1200(类似于图2B到图10B中所示的顶部部分)。范例性栅极结构1200包含多个栅极间隔物1212和MG或栅极堆叠1201。范例性栅极堆叠1201包含高介电系数层间介电材料1210、与高介电系数层间介电(ILD)材料1210相邻的p型功函数层1208、与p型功函数层1208相邻的n型功函数层1206、与n型功函数层1206相邻的抗反应层1204及与抗反应层1204相邻的胶层1202。Figure 12A illustrates an example gate structure 1200 after metal gate (MG) formation and after the planarization process is completed in block 1104 (similar to the top portion shown in Figures 2B-10B). Exemplary gate structure 1200 includes a plurality of gate spacers 1212 and an MG or gate stack 1201 . Exemplary gate stack 1201 includes a high-k interlayer dielectric material 1210, a p-type work function layer 1208 adjacent to the high-k interlayer dielectric (ILD) material 1210, and a p-type work function layer 1208. The n-type work function layer 1206 is adjacent to the n-type work function layer 1206, the anti-reaction layer 1204 is adjacent to the n-type work function layer 1206, and the glue layer 1202 is adjacent to the anti-reaction layer 1204.

范例性栅极堆叠1201可与n型通道金属氧化物半导体(NMOS)或p型通道金属氧化物半导体(PMOS)一起使用。在与NMOS半导体装置一起使用的实施例中,栅极堆叠1201可包含N-金属层1206和P-金属层1208,或者栅极堆叠1201可以仅包含N-金属层1206而没有P-金属层1208。在与PMOS半导体装置一起使用的实施例中,栅极堆叠1201可包含N-金属层1206和P-金属层1208,或者栅极堆叠1201可以仅包含P-金属层1208而没有N-金属层1206。Exemplary gate stack 1201 may be used with n-channel metal oxide semiconductor (NMOS) or p-channel metal oxide semiconductor (PMOS). In embodiments for use with NMOS semiconductor devices, gate stack 1201 may include N-metal layer 1206 and P-metal layer 1208 , or gate stack 1201 may only include N-metal layer 1206 without P-metal layer 1208 . In embodiments used with PMOS semiconductor devices, gate stack 1201 may include N-metal layer 1206 and P-metal layer 1208 , or gate stack 1201 may include only P-metal layer 1208 without N-metal layer 1206 .

在区块1106,预清洗栅极结构的表面以将任何多余的土壤或颗粒移除,以确保在后续沉积操作的期间成功覆盖栅极结构。在一实施例中,栅极结构的表面通过以去离子(deionized,DI)水溶液冲洗来预清洗。举例来说,在一实施例中,可输送去离子水溶液约28秒。清洁溶液可处于环境温度或者可被加热或冷却到不同的温度。At block 1106, the surface of the gate structure is pre-cleaned to remove any excess soil or particles to ensure successful coverage of the gate structure during subsequent deposition operations. In one embodiment, the surface of the gate structure is pre-cleaned by rinsing with a deionized (DI) aqueous solution. For example, in one embodiment, the deionized water solution may be delivered for approximately 28 seconds. The cleaning solution can be at ambient temperature or can be heated or cooled to different temperatures.

在区块1108,预处理栅极结构的表面以实现表面改质(surface modification)和选择性生长辅助。在一些实施例中,预处理是等离子体处理1214,例如氧(O2)等离子体或氮/氢(N2/H2)等离子体。在一些实施例中,应用光等离子体处理(light plasmatreatment)。在预处理工艺之后,金属栅极层1206和1208中的部分氮化钛已经转变为氧化钛或氮氧化钛。在各种实施例中,基于最佳化工艺,预处理可用于例如氮化物基、碳化物基和纯金属(例如,Co的酸和Al的碱)的金属栅极。O2和N2/H2均适用于通过工艺调整对TiN、TaC和TiC基金属栅极进行预处理。At block 1108, the surface of the gate structure is pretreated to achieve surface modification and selective growth assistance. In some embodiments, the pre-treatment is a plasma treatment 1214, such as oxygen ( O2 ) plasma or nitrogen/hydrogen ( N2 / H2 ) plasma. In some embodiments, light plasma treatment is applied. After the pretreatment process, part of the titanium nitride in the metal gate layers 1206 and 1208 has been transformed into titanium oxide or titanium oxynitride. In various embodiments, pretreatment may be used for metal gates such as nitride-based, carbide-based, and pure metals (eg, acids of Co and bases of Al) based on optimization processes. Both O2 and N2 / H2 are suitable for pretreatment of TiN, TaC and TiC-based metal gates through process adjustment.

等离子体处理程序可以是等离子体清洗操作,其包含温度为约100℃至300℃的氢气(H2)和氮气(N2)。在范例性实施例中,通过控制气流,氢气:氮气的比例的范围可在约10∶1至约2∶1内,但是在其他范例性实施例中可使用其他比例。在范例性实施例中,约500sscm至约5000sscm H2和约500sscm至约10000sscm N2的气流可在约0.5托(torr)至约50托的压力和2500瓦的电感耦合等离子体源功率下使用。在其他范例性实施例中,清洗腔室中使用的功率范围可从约150W到约3000W。等离子体预处理用于钝化栅极结构的表面而不是溅射。The plasma treatment procedure may be a plasma cleaning operation including hydrogen ( H2 ) and nitrogen ( N2 ) at a temperature of about 100°C to 300°C. In exemplary embodiments, by controlling the gas flow, the hydrogen:nitrogen ratio may range from about 10:1 to about 2:1, although other ratios may be used in other exemplary embodiments. In an exemplary embodiment, a gas flow of about 500sscm to about 5000sscm H and about 500sscm to about 10000sscm N may be used at a pressure of about 0.5 torr to about 50 torr and an inductively coupled plasma source power of 2500 watts. In other exemplary embodiments, the power used in the cleaning chamber may range from about 150W to about 3000W. Plasma pretreatment is used to passivate the surface of the gate structure instead of sputtering.

图12B绘示在区块1106中的预清洗和区块1108中的预处理完成之后的栅极结构1200。预处理导致使用等离子体处理1214的表面改质。FIG. 12B illustrates gate structure 1200 after completion of pre-cleaning in block 1106 and pre-processing in block 1108 . Pretreatment results in surface modification using plasma treatment 1214.

在区块1110,使用选择性沉积在金属栅极堆叠的上方沉积金属材料。金属材料可通过CVD或ALD沉积。在范例性实施例中,金属材料通过ALD工艺沉积。在沉积过程中,前驱物中的氯化物与金属闸堆叠1201中的氧化钛反应,在P-金属1208、N-金属1206和高介电系数材料中形成凹部。金属和高介电系数材料之间蚀刻速率的差量(delta)影响高介电系数材料被蚀刻的量和高介电系数材料中凹部的斜率。金属材料选择性地被沉积于凹部中和栅极堆叠1201的高介电系数材料1210、P-金属1208和N-金属1206层的上方。在各种实施例中,WCl5与表面Ti-O反应以形成蒸汽形式的WOClx和TiOCly。TiOCly蒸汽被抽出有助于形成凹部。金属材料在栅极堆叠1201的上方形成不连续的金属盖1216。因为抗反应层具有类似介电的特性,所以抑制了金属盖在抗反应层之上的生长。At block 1110, a metallic material is deposited over the metal gate stack using selective deposition. Metallic materials can be deposited by CVD or ALD. In an exemplary embodiment, the metallic material is deposited via an ALD process. During deposition, chloride in the precursor reacts with titanium oxide in metal gate stack 1201 to form recesses in P-metal 1208, N-metal 1206, and the high-k material. The difference (delta) in etch rates between the metal and the high-k material affects the amount of the high-k material that is etched and the slope of the recesses in the high-k material. Metallic material is selectively deposited in the recesses and over the high-k material 1210 , P-metal 1208 and N-metal 1206 layers of gate stack 1201 . In various embodiments, WCl 5 reacts with surface Ti-O to form WOCl x and TiOCl y in vapor form. The TiOCl y vapor is extracted to help form the recess. The metal material forms a discontinuous metal cap 1216 over the gate stack 1201 . Because the anti-reactive layer has dielectric-like properties, the growth of the metal cap over the anti-reactive layer is inhibited.

金属盖1216可以是例如钨(W)或钼(Mo)。在一些范例中,WCl5用于在抗反应层1204的上方沉积W盖,抗反应层1204可由例如氮化硅(SiN)或氧化硅(SiOx)的含硅材料所构成。Metal cap 1216 may be, for example, tungsten (W) or molybdenum (Mo). In some examples, WCl 5 is used to deposit a W cap over anti-reactive layer 1204, which may be composed of a silicon-containing material such as silicon nitride (SiN) or silicon oxide ( SiOx ).

在导电盖材料包含钨的实施例中,可在约300℃至约500℃的温度范围和约10托至约50托的工艺压力下,使用氯化钨(WCl5)前驱物、氢气(H2)还原气体和氩气(Ar)载体气体沉积导电盖材料。可以在约100℃至约150℃的温度范围内提供氯化钨前驱物。在一些实施例中,导电盖材料还可包含原子浓度范围为约0.5%至约5%的氯。或者,可使用类似的ALD工艺在约300℃的温度下,使用氯化钼(MoCl5)前驱物、氢气(H2)还原气体和氩气(Ar)载体气体沉积Mo,以形成Mo盖。In embodiments where the conductive cover material includes tungsten, a tungsten chloride (WCl 5 ) precursor, hydrogen (H 2 ) reducing gas and argon (Ar) carrier gas to deposit the conductive cap material. The tungsten chloride precursor can be provided at a temperature ranging from about 100°C to about 150°C. In some embodiments, the conductive cap material may also include chlorine at an atomic concentration ranging from about 0.5% to about 5%. Alternatively, a similar ALD process can be used to deposit Mo using molybdenum chloride (MoCl 5 ) precursor, hydrogen (H 2 ) reducing gas, and argon (Ar) carrier gas at a temperature of about 300°C to form the Mo cap.

在区块1110的沉积工艺完成之后,在金属栅极堆叠1201的上方已形成不连续的金属盖1216。在一些实施例中,不连续的金属盖1216的厚度为约1~2nm。由于W相对于金属栅极的高原子序,不连续的金属盖1216的厚度可能不均匀并可通过TEM分析来确定。部分金属盖1216在随后的工艺步骤期间用作蚀刻遮罩,以确保P-金属1208和N-金属1206在区块1112将抗反应层1204的一部分移除的期间不被损坏。After the deposition process of block 1110 is completed, a discontinuous metal cap 1216 has been formed over the metal gate stack 1201 . In some embodiments, the thickness of the discontinuous metal cap 1216 is approximately 1-2 nm. Due to the high atomic order of W relative to the metal gate, the thickness of the discontinuous metal cap 1216 may be non-uniform and may be determined by TEM analysis. Partial metal cap 1216 is used as an etch mask during subsequent process steps to ensure that P-metal 1208 and N-metal 1206 are not damaged during block 1112 when a portion of anti-reaction layer 1204 is removed.

图12C描绘在区块1110沉积金属材料以形成部分金属盖之后的栅极结构1200。栅极结构1200已经被修改以包含不连续的金属盖1216。FIG. 12C depicts gate structure 1200 after depositing metal material at block 1110 to form a partial metal cap. Gate structure 1200 has been modified to include discontinuous metal cap 1216 .

抗反应层1204抑制金属材料的沉积,因为与栅极堆叠的其余部分相比,WCl5与抗反应层的介电表面的反应性较低。抗反应层的一部分将被选择性地移除以允许沉积额外的金属材料,以在栅极堆叠1201的上方创建连续的金属盖。抗反应层1204可由含硅的材料所构成。在一些实施例中,抗反应层1204可以是SiN或SiOx。抗反应层1204保护P-金属1208和N-金属1206免受蚀刻工艺影响,改善金属盖1220的特性,例如临界电压偏移(Vts),并防止劣化(degradation)。然而,由于含硅的材料的介电特性,抗反应层1204抑制金属盖覆盖。The anti-reaction layer 1204 inhibits deposition of metallic material because WCl 5 is less reactive with the dielectric surface of the anti-reaction layer than the rest of the gate stack. A portion of the anti-reaction layer will be selectively removed to allow additional metal material to be deposited to create a continuous metal cap over gate stack 1201 . The anti-reaction layer 1204 may be composed of silicon-containing material. In some embodiments, anti-reaction layer 1204 may be SiN or SiOx . The anti-reaction layer 1204 protects the P-metal 1208 and N-metal 1206 from the etching process, improves the characteristics of the metal cap 1220, such as threshold voltage shift (V ts ), and prevents degradation. However, due to the dielectric properties of silicon-containing materials, the anti-reaction layer 1204 inhibits metal cap coverage.

在区块1112,将抗反应层1204的一部分选择性地移除,以留下多个凹部1218。抗反应层1204的移除进行到不连续的金属盖1216已被沉积的深度,其可为约1~2nm,其中凹部的深度为平均值1215,凹部定义为朝向间隔物的表面的间隙。因此,将抗反应层1204的一部分移除,使得所得的凹部1218的深度大约等于不连续的金属盖1216的厚度。可通过湿式化学工艺完成抗反应层1204的一部分的移除。湿式化学工艺溶解并移除抗反应层1204(其可包含氧化硅或另一种介电材料),但湿式化学工艺不溶解部分金属盖1216的金属材料。部分金属盖1216的存在保护P-金属1208和N-金属1206免受湿式化学工艺的影响。在一实施例中,以蚀刻溶液冲洗整个栅极结构1200。At block 1112 , a portion of the anti-reactive layer 1204 is selectively removed to leave a plurality of recesses 1218 . Removal of the anti-reactive layer 1204 proceeds to a depth to which the discontinuous metal cap 1216 has been deposited, which may be about 1 to 2 nm, with the depth of the recess being an average 1215, the recess being defined as the gap towards the surface of the spacer. Therefore, a portion of the anti-reaction layer 1204 is removed such that the depth of the resulting recess 1218 is approximately equal to the thickness of the discontinuous metal cap 1216 . Removal of a portion of the anti-reaction layer 1204 may be accomplished through a wet chemical process. The wet chemical process dissolves and removes the anti-reaction layer 1204 (which may include silicon oxide or another dielectric material), but the wet chemical process does not dissolve portions of the metal material of the metal cap 1216 . The presence of partial metal cover 1216 protects P-metal 1208 and N-metal 1206 from wet chemical processes. In one embodiment, the entire gate structure 1200 is rinsed with etching solution.

蚀刻溶液可以是稀释的氢氟酸(HF)。HF用去离子水稀释。在一些实施例中,HF与去离子水的体积比为约1∶500。在其他的实施例中,HF与去离子水的体积比为约1∶2000。或者,蚀刻溶液可以是例如MR1。蚀刻溶液MR1包含1份氢氧化铵(NH4OH)、约1至约10份过氧化氢(H2O2)和约5至约30份水(H2O)。在一些实施例中,可使用其他蚀刻溶液,或者蚀刻溶液的成分可以不同的比例混合。The etching solution may be dilute hydrofluoric acid (HF). HF was diluted with deionized water. In some embodiments, the volume ratio of HF to deionized water is about 1:500. In other embodiments, the volume ratio of HF to deionized water is about 1:2000. Alternatively, the etching solution may be MR1, for example. Etching solution MR1 contains 1 part ammonium hydroxide (NH 4 OH), about 1 to about 10 parts hydrogen peroxide (H 2 O 2 ), and about 5 to about 30 parts water (H 2 O). In some embodiments, other etching solutions may be used, or the components of the etching solution may be mixed in different proportions.

图12D绘示在区块1112将抗反应层1204的一部分选择性地移除以形成凹部1218之后的栅极结构1200。12D illustrates gate structure 1200 after block 1112 selectively removes a portion of anti-reaction layer 1204 to form recess 1218.

在区块1114,沉积额外的金属材料,以形成连续的金属盖1220。在一些实施例中,区块1114中的工艺与区块1110中的工艺相同。在其他的实施例中,区块1110中的工艺的变型被用于区块1114中。在其他的实施例中,在区块1114中使用与在区块1110中不同的沉积工艺。At block 1114, additional metal material is deposited to form a continuous metal cap 1220. In some embodiments, the process in block 1114 is the same as the process in block 1110 . In other embodiments, variations of the process in block 1110 are used in block 1114 . In other embodiments, a different deposition process is used in block 1114 than in block 1110 .

在金属栅极结构1200的上方沉积额外的金属材料。金属材料可通过CVD、ALD、无电沉积(electroless deposition,ELD)、PVD、电镀、其组合或另一种沉积技术所沉积。在范例性实施例中,金属材料通过ALD工艺所沉积。在区块1114沉积的金属材料填充凹部1218,以在金属栅极堆叠1201的上方形成连续的金属盖1220。金属材料也可部分地覆盖间隔物1212。Additional metal material is deposited over metal gate structure 1200 . Metallic materials may be deposited by CVD, ALD, electroless deposition (ELD), PVD, electroplating, a combination thereof, or another deposition technique. In an exemplary embodiment, the metallic material is deposited through an ALD process. The metal material deposited at block 1114 fills recess 1218 to form a continuous metal cap 1220 over metal gate stack 1201 . Metallic material may also partially cover spacer 1212.

在区块1114中沉积的金属材料与在区块1110中沉积的金属材料相同,例如可以是W或Mo。在范例性实施例中,可在约300℃至约500℃的温度范围和约10托至约50托的工艺压力下,使用氯化钨(WCl5)前驱物、氢气(H2)还原气体和氩气(Ar)载体气体沉积W。在一些实施例中,导电盖材料还可包含原子浓度范围为约0.5%至约5%的氯。或者,前驱物可以是氟化钨(WF6)或氯化钼(MoCl5)。The metal material deposited in block 1114 is the same as the metal material deposited in block 1110, and may be W or Mo, for example. In an exemplary embodiment, a tungsten chloride (WCl 5 ) precursor, hydrogen (H 2 ) reducing gas, and Argon (Ar) carrier gas deposition W. In some embodiments, the conductive cap material may also include chlorine at an atomic concentration ranging from about 0.5% to about 5%. Alternatively, the precursor may be tungsten fluoride (WF 6 ) or molybdenum chloride (MoCl 5 ).

控制ALD循环或其他沉积工艺,以获得金属盖1220的所需厚度。在一些实施例中,区块1114中沉积的金属具有约2nm的厚度,使得整个金属盖1220的厚度为约3~4nm。在各种实施例中,厚度被限制在3~4nm以利用栅极减少而不影响RC延迟,因为较厚的金属可能增加总栅极高度和栅极电容。来自第一金属盖沉积工艺的第一金属盖用作保护层,以避免金属因湿式工艺而损坏并通过第二金属盖沉积工艺达到最终的厚度。The ALD cycle or other deposition process is controlled to obtain the desired thickness of metal cap 1220. In some embodiments, the metal deposited in block 1114 has a thickness of about 2 nm, such that the thickness of the entire metal cap 1220 is about 3-4 nm. In various embodiments, the thickness is limited to 3-4 nm to take advantage of gate reduction without affecting RC delay, as thicker metal may increase overall gate height and gate capacitance. The first metal cap from the first metal cap deposition process is used as a protective layer to avoid metal damage due to the wet process and to achieve the final thickness through the second metal cap deposition process.

图12E绘示在区块1114中沉积额外的金属材料以产生连续的金属盖1220之后的栅极结构1200。在一些实施例中,额外的金属材料是与用于不连续的金属盖的金属材料相同类型的金属材料。在一些实施例中,额外的金属材料1217与不连续的金属盖1216的金属材料不同,从而形成双层金属盖,例如W之上的Mo或Mo之上的W,如图12F所示。12E illustrates gate structure 1200 after additional metal material is deposited in block 1114 to create a continuous metal cap 1220. In some embodiments, the additional metal material is the same type of metal material used for the discontinuous metal cap. In some embodiments, the additional metal material 1217 is different from the metal material of the discontinuous metal cap 1216, thereby forming a double layer metal cap, such as Mo on W or W on Mo, as shown in Figure 12F.

在区块1116,减少金属盖1220的侧向生长,并使用湿式化学工艺将多余的材料移除。金属盖1220被限制以使其覆盖金属栅极堆叠1201,但不覆盖侧壁间隔物1212。侧壁间隔物1212可由例如硅、碳化物或氮化物所构成。在一些实施例中,使用臭氧溶液(例如,臭氧去离子水溶液(DIO3))限制金属盖1220的生长。或者,可在约40℃至约80℃的温度下使用热的去离子水(HDI)。At block 1116, lateral growth of the metal cap 1220 is reduced and excess material is removed using a wet chemical process. The metal cap 1220 is constrained so that it covers the metal gate stack 1201 but not the sidewall spacers 1212 . Sidewall spacers 1212 may be composed of, for example, silicon, carbide, or nitride. In some embodiments, an ozone solution (eg, ozone deionized water solution (DIO 3 )) is used to limit the growth of the metal cap 1220 . Alternatively, hot deionized water (HDI) can be used at a temperature of about 40°C to about 80°C.

在一实施例中,通过施加去离子水和臭氧的溶液约5秒至约60秒的时间段来抑制金属盖的生长。在一些实施例中,溶液包含混合在水中的臭氧和盐酸。在范例性实施例中,溶液包含在室温下浓度为5至100ppm的DIO3及在约25℃至约50℃的温度下浓度为1∶1至约1∶50的HCl。In one embodiment, the growth of the metal cap is inhibited by applying a solution of deionized water and ozone for a period of about 5 seconds to about 60 seconds. In some embodiments, the solution includes ozone and hydrochloric acid mixed in water. In an exemplary embodiment, the solution includes DIO 3 at a concentration of 5 to 100 ppm at room temperature and HCl at a concentration of 1:1 to about 1:50 at a temperature of about 25°C to about 50°C.

图12G描绘区块1116中侧向生长减少之后的栅极结构1200。连续金属盖1220现在被限制,使得其不覆盖间隔件1212。FIG. 12G depicts gate structure 1200 after lateral growth in region 1116 has been reduced. The continuous metal cover 1220 is now constrained so that it does not cover the spacer 1212.

连续的金属盖1220的好处之一是它能够降低金属栅极堆叠1201的栅极电阻。降低的栅极电阻使半导体装置的整体性能更高。在范例性实施例中,具有连续的金属盖1220的半导体装置具有比没有连续的金属盖1220的半导体装置低大约80%的栅极电阻。图15绘示具有连续的金属盖1220的半导体装置可获得的栅极电阻与没有金属盖时可能经历的栅极电阻之间的差异。在图15的范例中,在没有金属盖1220的情况下,目标栅极电阻为约每平方300~400欧姆(Ω/sq),其由四点探针所测量。使用金属盖1220,目标电阻降低到约80Ω/sq。One of the benefits of continuous metal cap 1220 is its ability to reduce the gate resistance of metal gate stack 1201 . Reduced gate resistance results in higher overall performance of the semiconductor device. In an exemplary embodiment, a semiconductor device with continuous metal cap 1220 has a gate resistance that is approximately 80% lower than a semiconductor device without continuous metal cap 1220 . 15 illustrates the difference between the gate resistance achievable for a semiconductor device with a continuous metal cap 1220 and the gate resistance that may be experienced without the metal cap. In the example of Figure 15, without metal cap 1220, the target gate resistance is approximately 300-400 ohms per square (Ω/sq), as measured by a four-point probe. Using metal cap 1220, the target resistance is reduced to approximately 80Ω/sq.

在区块1118,范例性方法1100包含金属漏极制造操作,以在源极/漏极区域的上方形成金属漏极(MD)。金属漏极制造操作可包含将ILD层暴露的部分移除,以形成暴露下方的源极/漏极结构的开口。ILD层暴露的部分可通过合适的蚀刻工艺被移除,例如湿式蚀刻、干式蚀刻或其组合。在蚀刻ILD层的期间,选择蚀刻剂以提供ILD层与其他结构(例如,栅极间隔物1212和金属盖1220)之间的蚀刻选择性。举例来说,ILD层对蚀刻剂的蚀刻抵抗力低于栅极间隔物1212和金属盖1220,使得可以蚀刻ILD层同时保持栅极间隔物1212和金属盖1220实质上完整。At block 1118, the example method 1100 includes a metal drain fabrication operation to form a metal drain (MD) over the source/drain regions. The metal drain fabrication operation may include removing the exposed portions of the ILD layer to form an opening exposing the underlying source/drain structure. The exposed portions of the ILD layer may be removed by a suitable etching process, such as wet etching, dry etching, or a combination thereof. During etching of the ILD layer, the etchant is selected to provide etch selectivity between the ILD layer and other structures (eg, gate spacer 1212 and metal cap 1220). For example, the ILD layer is less resistant to etchants than the gate spacers 1212 and metal caps 1220 such that the ILD layer can be etched while leaving the gate spacers 1212 and metal caps 1220 substantially intact.

金属漏极制造操作(区块1118)还可包含将图案化遮罩移除并在开口中形成源极/漏极接点。在开口中形成源极/漏极接点可包含在接触源极/漏极区域的开口中填充导电材料,以形成源极/漏极接点。源极/漏极接点可包含一或多层。举例来说,在一些实施例中,源极/漏极接点包含通过例如CVD、ALD、无电沉积(ELD)、PVD、电镀或另一种沉积技术沉积的衬垫和金属填充材料。衬垫(例如,扩散阻挡层、粘着层或类似物)可包含钛、氮化钛、钽、氮化钽或类似物。导电材料可以是铜、铜合金、银、金、钨、钴、铝、钌、镍或类似物。可执行例如CMP的平坦化工艺,以将多余的衬垫和导电材料移除。剩余的衬垫和导电材料在开口中形成源极/漏极接点702。The metal drain fabrication operation (block 1118) may also include removing the patterned mask and forming source/drain contacts in the openings. Forming the source/drain contact in the opening may include filling the opening contacting the source/drain region with a conductive material to form the source/drain contact. The source/drain contacts may include one or more layers. For example, in some embodiments, the source/drain contacts include liner and metal fill materials deposited by, for example, CVD, ALD, electroless deposition (ELD), PVD, electroplating, or another deposition technique. The liner (eg, diffusion barrier, adhesion layer, or the like) may include titanium, titanium nitride, tantalum, tantalum nitride, or the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, ruthenium, nickel or the like. A planarization process such as CMP can be performed to remove excess pads and conductive material. The remaining pad and conductive material form source/drain contacts 702 in the openings.

在区块1120,范例性方法1100包含通孔栅极制造操作,以形成通孔栅极(VG)。通孔栅极制造操作可包含形成穿过层间介电(ILD)材料的开口,以接触金属盖1220。可使用可接受的光微影和蚀刻技术形成用于通孔栅极制造操作的开口。通孔栅极可通过CVD、ALD、无电沉积(ELD)、PVD、电镀或其他沉积技术所沉积。At block 1120, the example method 1100 includes a via gate fabrication operation to form a via gate (VG). Via gate fabrication operations may include forming openings through interlayer dielectric (ILD) material to contact metal cap 1220 . Openings for via gate fabrication operations may be formed using acceptable photolithography and etching techniques. Via gates can be deposited by CVD, ALD, electroless deposition (ELD), PVD, electroplating or other deposition techniques.

图12H绘示在形成VG 1222之后的栅极结构1200。金属漏极(MD)(未绘示)和层间介电(ILD)1224也已形成。VG 1222可以是或包含钨、钴、铜、钌、铝、金、银、其合金、类似物或其组合。MD可以是铜、铜合金、银、金、钨、钴、铝、钌、镍或类似物。ILD 1224是一种低介电系数材料,例如氧化物。FIG. 12H illustrates gate structure 1200 after VG 1222 is formed. Metal drain (MD) (not shown) and interlayer dielectric (ILD) 1224 have also been formed. VG 1222 may be or contain tungsten, cobalt, copper, ruthenium, aluminum, gold, silver, alloys thereof, the like, or combinations thereof. MD can be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, ruthenium, nickel or the like. ILD 1224 is a low-k material such as an oxide.

在区块1122,范例性方法1100包含执行进一步的制造操作。半导体装置可经历进一步的处理,以形成本领域已知的各种部件和区域。举例来说,后续的处理可在基板之上形成接触开口、接触金属以及各种接点/通孔/线和多层互连部件(例如,金属层和层间介电质),其配置为连接各种部件以形成可包含一或多个多栅极装置的功能电路。在进一步的范例中,多层互连可包含垂直互连(例如,通孔或接点)以及水平互连(例如,金属线)。各种互连部件可采用各种导电材料,包含铜、钨和/或硅化物。在一范例中,使用镶嵌和/或双镶嵌工艺形成与铜相关的多层互连结构。此外,可在方法1100之前、期间和之后实施额外的工艺步骤,并且可根据方法1100的各种实施例替换或移除前述的一些工艺步骤。At block 1122, the example method 1100 includes performing further manufacturing operations. The semiconductor device may undergo further processing to form various features and regions known in the art. For example, subsequent processing may form contact openings, contact metals, and various contacts/vias/lines and multi-layer interconnect features (e.g., metal layers and interlayer dielectrics) over the substrate configured to connect Various components are used to form functional circuits that may include one or more multi-gate devices. In a further example, multi-level interconnects may include vertical interconnects (eg, vias or contacts) and horizontal interconnects (eg, metal lines). Various interconnect components can be made from a variety of conductive materials, including copper, tungsten, and/or silicide. In one example, a multi-level interconnect structure associated with copper is formed using damascene and/or dual damascene processes. Additionally, additional process steps may be performed before, during, and after method 1100 , and some of the foregoing process steps may be replaced or removed according to various embodiments of method 1100 .

图13是根据一些实施例描绘包含金属漏极(MD)制造和通孔栅极(VG)制造的半导体制造的范例性方法1300的工艺流程图。方法1300仅仅是一个范例,并非意图将本公开限制于权利要求中明确记载的内容之外。可在方法1300之前、期间和之后提供额外的步骤,且对于方法1300的其他实施例,可移动、替换或删除所描述的这些步骤中的一些步骤。可在图式中描绘的半导体装置中加入额外的部件,并且在其他实施例中可替换、修改或移除以下描述的一些部件。13 is a process flow diagram depicting an exemplary method 1300 of semiconductor fabrication including metal drain (MD) fabrication and via gate (VG) fabrication, in accordance with some embodiments. Method 1300 is merely an example, and is not intended to limit the disclosure beyond what is expressly stated in the claims. Additional steps may be provided before, during, and after method 1300, and some of the steps described may be moved, replaced, or deleted for other embodiments of method 1300. Additional components may be added to the semiconductor devices depicted in the drawings, and some of the components described below may be replaced, modified, or removed in other embodiments.

图13是根据一些实施例绘示可在图11的区块1118和区块1120之间执行的范例性操作。图13结合图14A~图14E进行描述,其中图14A~图14E是根据一些实施例描述在包含金属漏极制造和通孔栅极制造的半导体制造的各个阶段的范例性区域1400的放大图。在一些图式中,可能会省略其中绘示的部件或特征的一些参考符号,以避免混淆其他部件或特征;这是为了便于描绘图式。Figure 13 illustrates example operations that may be performed between block 1118 and block 1120 of Figure 11, according to some embodiments. 13 is described in conjunction with FIGS. 14A-14E, which are enlarged views of an exemplary region 1400 illustrating various stages of semiconductor fabrication including metal drain fabrication and via gate fabrication, according to some embodiments. In some drawings, some reference signs to illustrated components or features may be omitted to avoid confusing other components or features; this is for convenience in depicting the drawings.

在区块1302,范例性方法1300包含提供具有金属栅极的基板、位于金属栅极的侧边之上的栅极间隔物、形成于金属栅极上方的金属盖、蚀刻停止层(ESL)及位于源极/漏极区域上方的层间介电(ILD)材料。At block 1302, the example method 1300 includes providing a substrate having a metal gate, gate spacers over sides of the metal gate, a metal cap formed over the metal gate, an etch stop layer (ESL), and Interlayer dielectric (ILD) material located above the source/drain regions.

在区块1304,范例性方法1300包含在金属盖的上方形成第一ILD层。第一ILD层可包含或者是例如氮化硅(SiN)的材料,尽管也可以使用其他合适的材料,例如氧化硅(SiO2)、氧化铝(AlO)、碳氧化硅(SiOC)、碳化硅(SiC)、氮化锆(ZrN)、氧化锆(ZrO)、其组合或类似物。第一ILD层可使用例如等离子体辅助原子层沉积(PEALD)、热原子层沉积(热ALD)、等离子体辅助化学气相沉积(PECVD)等沉积工艺所沉积。可使用任何合适的沉积工艺和工艺条件。At block 1304, the example method 1300 includes forming a first ILD layer over the metal cap. The first ILD layer may comprise or be a material such as silicon nitride (SiN), although other suitable materials may also be used, such as silicon oxide (SiO 2 ), aluminum oxide (AlO), silicon oxycarbide (SiOC), silicon carbide (SiC), zirconium nitride (ZrN), zirconium oxide (ZrO), combinations thereof or the like. The first ILD layer may be deposited using a deposition process such as plasma-assisted atomic layer deposition (PEALD), thermal atomic layer deposition (thermal ALD), plasma-assisted chemical vapor deposition (PECVD), and the like. Any suitable deposition process and process conditions may be used.

在区块1306,范例性方法1300包含形成图案化遮罩,其暴露源极/漏极区域上方的ILD材料的一部分。图案化遮罩可包含光阻层。图案化遮罩可通过光阻涂布(例如,旋转涂布)、软烘烤、遮罩对准、曝光、曝光后烘烤、显影光阻、清洗、干燥(例如,硬烘烤)及/或其组合所形成。在一些其他的实施例中,可在光阻层之下形成各种成像辅助层,以辅制图案转移。成像辅助层可包含三层,其包含底部有机层、中间无机层和顶部有机层。成像辅助层还可包含抗反射涂层(anti-reflective coating,ARC)材料、聚合物层、来自四乙基原硅酸盐(tetraethylorthosilicate,TEOS)的氧化物、氧化硅或含Si的抗反射涂层(ARC)材料,例如含42%Si的ARC层。在又一些其他的实施例中,图案化遮罩层包含硬遮罩层。硬遮罩层包含氧化物材料、氮化硅、氮氧化硅、非晶碳材料、碳化硅或四乙基原硅酸盐(TEOS)。At block 1306, the example method 1300 includes forming a patterned mask that exposes a portion of the ILD material over the source/drain regions. The patterned mask may include a photoresist layer. Patterned masks can be produced by photoresist coating (e.g., spin coating), soft bake, mask alignment, exposure, post-exposure bake, developing photoresist, cleaning, drying (e.g., hard bake), and/or or a combination thereof. In some other embodiments, various imaging auxiliary layers may be formed under the photoresist layer to assist in pattern transfer. The imaging auxiliary layer may include three layers including a bottom organic layer, a middle inorganic layer, and a top organic layer. The imaging auxiliary layer may also include anti-reflective coating (ARC) materials, polymer layers, oxides from tetraethylorthosilicate (TEOS), silicon oxide or Si-containing anti-reflective coatings. layer (ARC) material, such as an ARC layer containing 42% Si. In still other embodiments, the patterned mask layer includes a hard mask layer. The hard mask layer includes an oxide material, silicon nitride, silicon oxynitride, amorphous carbon material, silicon carbide, or tetraethylorthosilicate (TEOS).

参照图14A的范例,在完成区块1302、1304和1306之后的一实施例中,绘示区域1400,其包含具有金属栅极堆叠1201的基板1402、位于金属栅极堆叠1201的侧边之上的栅极间隔物1212、形成于金属栅极堆叠1201上方的金属盖1220、ESL 1416、位于源极/漏极区域1404上方的ILD材料802、位于金属盖1220上方的第一ILD层1414及暴露源极/漏极区域1404上方的ILD材料802的一部分的图案化遮罩1406。Referring to the example of FIG. 14A , in one embodiment after completion of blocks 1302 , 1304 , and 1306 , a region 1400 is shown that includes a substrate 1402 with a metal gate stack 1201 over the sides of the metal gate stack 1201 gate spacer 1212, metal cap 1220 formed over metal gate stack 1201, ESL 1416, ILD material 802 over source/drain regions 1404, first ILD layer 1414 over metal cap 1220, and exposed Patterned mask 1406 of a portion of ILD material 802 over source/drain regions 1404 .

在区块1308,范例性方法1300包含将源极/漏极区域上方的ILD材料移除,以形成暴露下方源极/漏极区域的开口。ILD材料暴露的部分可通过合适的蚀刻工艺被移除,例如湿式蚀刻、干式蚀刻或其组合。At block 1308, the example method 1300 includes removing the ILD material over the source/drain regions to form openings exposing the underlying source/drain regions. The exposed portions of the ILD material can be removed by a suitable etching process, such as wet etching, dry etching, or a combination thereof.

在区块1310,范例性方法1300包含可选地在已暴露的源极/漏极区域之上形成多个硅化物接点。可选的硅化物接点可包含钛(例如,硅化钛(TiSi))以降低接点的肖特基势障(Schottky barrier)高度。然而,也可使用其他的金属,例如镍、钴、铒、铂、钯或类似物。硅化可通过合适的金属层的覆盖沉积来执行,随后是使金属与源极/漏极区域下方暴露的硅反应的退火步骤。At block 1310, the example method 1300 includes optionally forming a plurality of suicide contacts over the exposed source/drain regions. An optional suicide contact may include titanium (eg, titanium silicide (TiSi)) to reduce the Schottky barrier height of the contact. However, other metals may also be used, such as nickel, cobalt, erbium, platinum, palladium or the like. Silicide can be performed by blanket deposition of a suitable metal layer, followed by an annealing step to react the metal with the exposed silicon beneath the source/drain regions.

参照图14B的范例,在完成区块1308和1310之后的一实施例中,区域1400包含暴露下方的源极/漏极区域1404的开口1408及可选地在已经暴露的源极/漏极区域1404之上形成的硅化物接点1409。图式描绘位于源极/漏极区域1404上方的ILD材料802已被移除,以形成暴露下方的源极/漏极区域1404的开口1408。Referring to the example of FIG. 14B , in one embodiment after blocks 1308 and 1310 are completed, region 1400 includes openings 1408 exposing underlying source/drain regions 1404 and optionally in the already exposed source/drain regions. Silicide contact 1409 formed on top of 1404. The figure depicts that the ILD material 802 over the source/drain region 1404 has been removed to form an opening 1408 exposing the underlying source/drain region 1404.

在区块1312,范例性方法1300包含在接触源极/漏极区域的开口中填充导电材料,以形成多个源极/漏极接点。源极/漏极接点702可包含一或多层。举例来说,在一些实施例中,源极/漏极接点包含通过例如CVD、ALD、无电沉积(ELD)、PVD、电镀或另一种沉积技术沉积的衬层和金属填充材料(未单独绘示)。衬层(例如,扩散阻挡层、粘着层或类似物)可包含钛、氮化钛、钽、氮化钽或类似物。导电材料可以是铜、铜合金、银、金、钨、钴、铝、钌、镍或类似物。可执行例如CMP的平坦化工艺,以将多余的衬层和导电材料移除。剩余的衬层和导电材料形成位于开口中的源极/漏极接点。At block 1312, the example method 1300 includes filling openings contacting source/drain regions with conductive material to form a plurality of source/drain contacts. Source/drain contact 702 may include one or more layers. For example, in some embodiments, the source/drain contacts include a liner and a metal fill material deposited by, for example, CVD, ALD, electroless deposition (ELD), PVD, electroplating, or another deposition technique (not separately shown). The lining layer (eg, diffusion barrier layer, adhesion layer, or the like) may include titanium, titanium nitride, tantalum, tantalum nitride, or the like. The conductive material may be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, ruthenium, nickel or the like. A planarization process such as CMP can be performed to remove excess liner and conductive material. The remaining liner and conductive material form source/drain contacts located in the openings.

参照图14C的范例,在完成区块1312之后的一实施例中,区域1400包含填充开口1408并接触源极/漏极区域1404以形成源极/漏极接点702的导电材料。Referring to the example of FIG. 14C , in one embodiment after block 1312 is completed, region 1400 includes conductive material that fills opening 1408 and contacts source/drain region 1404 to form source/drain contact 702 .

在区块1314,范例性方法1300包含在源极/漏极和栅极区域的上方形成接触蚀刻停止层(contact etch stop layer,CESL)。CESL可使用一或多种低温沉积工艺所沉积,例如化学气相沉积、物理气相沉积或原子层沉积。At block 1314, the example method 1300 includes forming a contact etch stop layer (CESL) over the source/drain and gate regions. CESL can be deposited using one or more low-temperature deposition processes, such as chemical vapor deposition, physical vapor deposition, or atomic layer deposition.

在区块1316,范例性方法1300包含在CESL层的上方形成第二ILD层。第二ILD层可由例如氧化物(例如,氧化硅(SiO2))的介电材料所形成,并且可通过任何可接受的工艺(例如,CVD、PEALD、热ALD、PECVD或类似的工艺)沉积于CESL的上方。第二ILD层也可由通过任何合适的方法(例如CVD、PECVD、可流动CVD或类似的工艺)沉积的其他合适的绝缘材料(例如PSG、BSG、BPSG、USG或类似物)所形成。在形成之后,可将第二ILD层固化,例如通过紫外线固化工艺。At block 1316, the example method 1300 includes forming a second ILD layer over the CESL layer. The second ILD layer may be formed from a dielectric material such as an oxide (eg, silicon oxide (SiO 2 )) and may be deposited by any acceptable process (eg, CVD, PEALD, thermal ALD, PECVD, or similar processes) above CESL. The second ILD layer may also be formed from other suitable insulating materials (eg, PSG, BSG, BPSG, USG, or the like) deposited by any suitable method (eg, CVD, PECVD, flowable CVD, or similar processes). After formation, the second ILD layer can be cured, such as by a UV curing process.

参照图14D的范例,在完成区块1314和1316之后的一实施例中,区域1400包含形成在源极/漏极和栅极区域上方的CESL层1410和形成在CESL层1410上方的第二ILD层1412。Referring to the example of FIG. 14D , in one embodiment after blocks 1314 and 1316 are completed, region 1400 includes a CESL layer 1410 formed over the source/drain and gate regions and a second ILD formed over the CESL layer 1410 Layer 1412.

在区块1318,范例性方法1300包含在CESL和第二ILD层中形成接触通孔开口,用于通孔栅极接触和源极/漏极通孔接触。用于通孔栅极接触和源极/漏极通孔接触的接触过孔开口是通过使用一或多种蚀刻工艺所形成。根据一些实施例,用于通孔栅极接触的开口是穿过第二ILD层、CESL和第一ILD层所形成,而用于源极/漏极通孔接触的开口是穿过第二ILD层和CESL所形成。开口可使用可接受的光微影和合适的蚀刻技术的任何组合所形成,例如干式蚀刻工艺(例如,等离子体蚀刻、反应离子蚀刻(RIE)、物理性蚀刻(例如,离子束蚀刻(IBE)))、湿式蚀刻工艺、其组合或类似的工艺。然而,接触通孔开口可利用任何合适的蚀刻工艺所形成。At block 1318, the example method 1300 includes forming contact via openings in the CESL and second ILD layers for via gate contacts and source/drain via contacts. Contact via openings for via gate contacts and source/drain via contacts are formed using one or more etching processes. According to some embodiments, the opening for the via gate contact is formed through the second ILD layer, the CESL, and the first ILD layer, and the opening for the source/drain via contact is formed through the second ILD layer. layer and CESL. The openings may be formed using any combination of acceptable photolithography and suitable etching techniques, such as dry etching processes (e.g., plasma etching, reactive ion etching (RIE)), physical etching (e.g., ion beam etching (IBE) ))), wet etching processes, combinations thereof or similar processes. However, the contact via openings may be formed using any suitable etching process.

在区块1320,范例性方法1300包含形成通孔栅极接点及源极/漏极通孔接点。通孔栅极接点形成于金属盖的上方并与金属盖电耦合,而源极/漏极通孔接点形成于源极/漏极接点的上方并电耦合到源极/漏极接点。通孔栅极接点及/或源极/漏极通孔接点可通过在开口中沉积金属材料所形成。金属材料可通过CVD、ALD、无电沉积(ELD)、PVD、电镀或另一种沉积技术所沉积。通孔栅极接点及/或源极/漏极通孔接点可以是或包含钨、钴、铜、钌、铝、金、银、其合金、类似物或其组合。At block 1320 , the example method 1300 includes forming via gate contacts and source/drain via contacts. A via gate contact is formed over and electrically coupled to the metal cap, and a source/drain via contact is formed over and electrically coupled to the source/drain contact. Via gate contacts and/or source/drain via contacts may be formed by depositing metallic material in the openings. Metallic materials can be deposited by CVD, ALD, electroless deposition (ELD), PVD, electroplating or another deposition technique. The via gate contacts and/or source/drain via contacts may be or include tungsten, cobalt, copper, ruthenium, aluminum, gold, silver, alloys thereof, the like, or combinations thereof.

参照图14E的范例,在完成区块1318和1320之后的一实施例中,区域1400包含通孔栅极接点1222和源极/漏极通孔接点(未绘示)。Referring to the example of FIG. 14E , in one embodiment after blocks 1318 and 1320 are completed, region 1400 includes via gate contacts 1222 and source/drain via contacts (not shown).

在区块1322,范例性方法1300包含执行进一步的制造操作。半导体装置可经历进一步的处理,以形成本领域已知的各种部件和区域。举例来说,后续的处理可在基板之上形成各种接点通孔/线和多层互连部件(例如,金属层和层间介电质),其配置为连接各种部件以形成可包含一或多个多栅极装置的功能电路。在进一步的范例中,多层互连可包含垂直互连(例如,通孔或接点)以及水平互连(例如,金属线)。各种互连部件可采用各种导电材料,包含铜、钨和/或硅化物。在一范例中,使用镶嵌和/或双镶嵌工艺形成与铜相关的多层互连结构。此外,可在方法1300之前、期间和之后实施额外的工艺步骤,并且可根据方法1300的各种实施例替换或移除前述的一些工艺步骤。At block 1322, the example method 1300 includes performing further manufacturing operations. The semiconductor device may undergo further processing to form various features and regions known in the art. For example, subsequent processing may form various contact vias/lines and multi-layer interconnect features (e.g., metal layers and interlayer dielectrics) over the substrate that are configured to connect the various features to form a device that may include Functional circuit of one or more multi-gate devices. In a further example, multi-level interconnects may include vertical interconnects (eg, vias or contacts) and horizontal interconnects (eg, metal lines). Various interconnect components can be made from a variety of conductive materials, including copper, tungsten, and/or silicide. In one example, a multi-level interconnect structure associated with copper is formed using damascene and/or dual damascene processes. Additionally, additional process steps may be performed before, during, and after method 1300, and some of the foregoing process steps may be replaced or removed according to various embodiments of method 1300.

已描述改进的系统、制造方法、制造技术和文章。所描述的系统、方法、技术和文章可用于范围广泛的半导体装置,包含GAA和FinFET装置。Improved systems, fabrication methods, fabrication techniques, and articles have been described. The systems, methods, techniques, and articles described are applicable to a wide range of semiconductor devices, including GAA and FinFET devices.

在各种实施例中,一种半导体装置包含位于半导体基板的上方的栅极结构及位于栅极结构的上方的连续的金属盖。栅极结构包含:高介电系数介电层;P型功函数层;N型功函数层;抗反应层,包含介电材料;及胶层。连续金属盖是通过以下所形成:在多个第一沉积操作期间于栅极结构的上方沉积金属材料,金属材料形成不连续的金属盖;在多个第一湿式化学操作期间选择性地将抗反应层的一部分移除;在多个第二沉积操作期间于栅极结构的上方沉积额外的金属材料,以创建连续的金属盖;及连续的金属盖在多个第二湿式化学操作期间被抑制生长。In various embodiments, a semiconductor device includes a gate structure over a semiconductor substrate and a continuous metal cap over the gate structure. The gate structure includes: a high dielectric coefficient dielectric layer; a P-type work function layer; an N-type work function layer; an anti-reaction layer, including dielectric materials; and a glue layer. The continuous metal cap is formed by depositing a metal material over the gate structure during a plurality of first deposition operations, the metal material forming a discontinuous metal cap; and selectively placing the resist during a plurality of first wet chemical operations. A portion of the reactive layer is removed; additional metal material is deposited over the gate structure during a plurality of second deposition operations to create a continuous metal cap; and the continuous metal cap is suppressed during a plurality of second wet chemical operations grow.

在半导体装置的特定实施例中,连续的金属盖包含钨(W)或钼(Mo)材料。In certain embodiments of the semiconductor device, the continuous metal cover includes tungsten (W) or molybdenum (Mo) material.

在半导体装置的特定实施例中,准备栅极结构以在栅极结构的上方形成连续的金属盖,栅极结构通过以下准备:使用平坦化操作将栅极结构的顶层平坦化;使用去离子(DI)水冲洗对栅极结构的表面进行预清洗;及使用氧化或氮化处理对栅极结构的表面进行预处理。In certain embodiments of the semiconductor device, the gate structure is prepared to form a continuous metal cap over the gate structure by: planarizing the top layer of the gate structure using a planarization operation; using deionization ( DI) water rinsing to pre-clean the surface of the gate structure; and using oxidation or nitriding treatment to pre-treat the surface of the gate structure.

在半导体装置的特定实施例中,使用稀释的氢氟酸(HF)或包含氢氧化铵(NH4OH)、过氧化氢(H2O2)和水(H2O)的蚀刻溶液选择性地将部分抗反应层移除。In certain embodiments of semiconductor devices, dilute hydrofluoric acid (HF) or an etching solution containing ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ), and water (H 2 O) is used to selectively Remove part of the anti-reaction layer.

在半导体装置的特定实施例中,抗反应层包含有含硅的材料。In certain embodiments of the semiconductor device, the anti-reaction layer includes a silicon-containing material.

在半导体装置的特定实施例中,栅极结构的栅极电阻(Rg)小于或等于每平方80欧姆(Ω/sq)。In certain embodiments of the semiconductor device, the gate structure has a gate resistance (Rg) less than or equal to 80 ohms per square (Ω/sq).

在各种实施例中,一种在金属栅极结构的上方形成连续的金属盖的方法,包含:接收栅极结构,栅极结构具有高介电系数介电层、P型功函数层、N型功函数层、包含介电材料的抗反应层及胶层。此方法业包含使用氧化或氮化处理对栅极结构的表面进行预处理;使用多个第一沉积操作在栅极结构的上方沉积金属材料,其形成不连续的金属盖;使用多个第一湿式化学操作选择性地将抗反应层的一部分移除;使用多个第二沉积操作在栅极结构的上方沉积额外的金属材料,以创建连续的金属盖;以及使用多个第二湿式化学操作抑制连续的金属盖生长。In various embodiments, a method of forming a continuous metal cap over a metal gate structure includes: receiving a gate structure having a high-k dielectric layer, a P-type work function layer, an N Type work function layer, anti-reaction layer including dielectric material and adhesive layer. The method includes pretreating the surface of the gate structure using an oxidation or nitriding process; using a plurality of first deposition operations to deposit a metal material over the gate structure, which forms a discontinuous metal cap; using a plurality of first deposition operations Wet chemical operations selectively remove a portion of the anti-reaction layer; use multiple second deposition operations to deposit additional metal material over the gate structure to create a continuous metal cap; and use multiple second wet chemical operations Inhibits continuous metal cap growth.

在此方法的特定实施例中,更包含在金属盖之上形成通孔栅极(VG)。在金属盖上形成通孔栅极包含:使用多个蚀刻操作形成通过层间介电材料的开口,以接触金属盖;及使用多个沉积操作在开口中沉积金属材料。In a specific embodiment of this method, it further includes forming a via gate (VG) on the metal cover. Forming the via gate on the metal cap includes using a plurality of etching operations to form an opening through the interlayer dielectric material to contact the metal cap, and using a plurality of deposition operations to deposit a metal material in the opening.

在此方法的特定实施例中,第一沉积操作和第二沉积操作包含多个原子层沉积(ALD)操作,且金属盖包含借由氯化钨(WCl5)和氢气(H2)沉积的钨(W)。In a specific embodiment of this method, the first deposition operation and the second deposition operation include a plurality of atomic layer deposition (ALD) operations, and the metal cover includes tungsten chloride (WC1 5 ) and hydrogen (H 2 ) deposited Tungsten (W).

在此方法的特定实施例中,第一沉积操作和第二沉积操作包含多个原子层(ALD)沉积操作,且金属盖包含借由氟化钨(WF6)和氢气(H2)沉积的钨(W)。In a specific embodiment of this method, the first deposition operation and the second deposition operation include multiple atomic layer (ALD) deposition operations, and the metal cover includes tungsten fluoride (WF 6 ) and hydrogen (H 2 ) deposited Tungsten (W).

在此方法的特定实施例中,第一沉积操作和第二沉积操作包含多个原子层沉积(ALD)操作,且金属盖包含借由氯化钼(MoCl5)和氢气(H2)沉积的钼(Mo)。In a specific embodiment of this method, the first deposition operation and the second deposition operation include a plurality of atomic layer deposition (ALD) operations, and the metal cover includes molybdenum chloride (MoCl 5 ) and hydrogen (H 2 ) deposited Molybdenum (Mo).

在此方法的特定实施例中,用于将抗反应层移除的第一湿式化学操作包含用稀释的氢氟酸(HF)冲洗。In a specific embodiment of this method, the first wet chemical operation for removing the anti-reactive layer includes a rinse with dilute hydrofluoric acid (HF).

在此方法的特定实施例中,用于将抗反应层的一部分移除的第一湿式化学操作包含用蚀刻溶液冲洗,蚀刻溶液包含氢氧化铵(NH4OH)、过氧化氢(H2O2)和水(H2O)。In a specific embodiment of this method, the first wet chemical operation for removing a portion of the anti-reactive layer includes rinsing with an etching solution containing ammonium hydroxide (NH 4 OH), hydrogen peroxide (H 2 O 2 ) and water (H 2 O).

在此方法的特定实施例中,用于抑制金属盖生长的第二湿式化学操作包含使用臭氧溶液的湿式蚀刻操作。In a specific embodiment of this method, the second wet chemical operation for inhibiting metal cap growth includes a wet etching operation using an ozone solution.

在另一实施例中,一种制造半导体装置的方法包含:接收栅极结构,栅极结构具有高介电系数介电层、P型功函数层、N型功函数层、介电抗反应层及胶层。此制造半导体装置的方法更包含:使用氧(O2)或氢/氮(H2/N2)等离子体处理对栅极结构的表面进行预处理;使用多个第一原子层沉积ALD)操作在栅极结构的上方沉积包含钨(W)材料或钼(Mo)材料的第一金属材料,其形成不连续的金属盖;使用稀释的氢氟酸选择性地将抗反应层的一部分移除;使用多个第二原子层沉积操作在栅极结构的上方沉积包含钨或钼的第二金属材料,以创建连续的金属盖;借由通过使用臭氧溶液的湿式蚀刻操作将不需要的金属材料从多个侧间隔物移除来抑制金属盖的生长;以及在金属盖之上形成通孔栅极(VG)。在金属盖之上形成通孔栅极包含使用多个蚀刻操作形成通过层间介电(ILD)材料的开口,以接触金属盖及使用多个沉积操作在开口中沉积金属材料。In another embodiment, a method of manufacturing a semiconductor device includes: receiving a gate structure having a high-k dielectric layer, a P-type work function layer, an N-type work function layer, and a dielectric anti-reaction layer and glue layer. The method of manufacturing a semiconductor device further includes: using oxygen (O 2 ) or hydrogen/nitrogen (H 2 /N 2 ) plasma treatment to pretreat the surface of the gate structure; using multiple first atomic layer deposition (ALD) operations Depositing a first metal material including tungsten (W) material or molybdenum (Mo) material over the gate structure to form a discontinuous metal cover; using dilute hydrofluoric acid to selectively remove a portion of the anti-reaction layer ;Using multiple second atomic layer deposition operations to deposit a second metal material containing tungsten or molybdenum over the gate structure to create a continuous metal cap; by removing the unwanted metal material through a wet etching operation using an ozone solution Removing from the plurality of side spacers to inhibit growth of the metal cap; and forming a via gate (VG) over the metal cap. Forming the via gate over the metal cap includes using multiple etching operations to form openings through interlayer dielectric (ILD) material to contact the metal cap and using multiple deposition operations to deposit metallic material in the openings.

在此半导体装置的方法的特定实施例中,第一原子层沉积操作和第二原子层沉积操作包含通过氯化钨(WCl5)和氢气(H2)沉积钨(W)。In a particular embodiment of this method of semiconductor device, the first atomic layer deposition operation and the second atomic layer deposition operation include depositing tungsten (W) by tungsten chloride ( WC15 ) and hydrogen gas ( H2 ).

在此半导体装置的方法的特定实施例中,第一原子层沉积操作和第二原子层沉积操作包含通过氟化钨(WF6)和氢气(H2)沉积钨。In a particular embodiment of this method of semiconductor device, the first atomic layer deposition operation and the second atomic layer deposition operation include depositing tungsten by tungsten fluoride (WF 6 ) and hydrogen gas (H 2 ).

在此半导体装置的方法的特定实施例中,第一原子层沉积操作和第二原子层沉积操作包含通过氯化钼(MoCl5)和氢气(H2)沉积钼(Mo)。In a specific embodiment of this method of semiconductor device, the first atomic layer deposition operation and the second atomic layer deposition operation include depositing molybdenum (Mo) by molybdenum chloride (MoCl 5 ) and hydrogen (H 2 ).

在此半导体装置的方法的特定实施例中,第一金属材料与第二金属材料的其中之一包含钨,而第一金属材料与第二金属材料的其中之另一包含钼。In a specific embodiment of the method of semiconductor device, one of the first metallic material and the second metallic material includes tungsten, and the other of the first metallic material and the second metallic material includes molybdenum.

在此半导体装置的方法的特定实施例中,此方法更包含使用多个化学机械研磨(CMP)操作将栅极结构的顶层平坦化,并在对栅极结构的表面进行预处理之前,使用去离子(DI)水冲洗对栅极结构的表面进行预清洗。In a specific embodiment of the method for a semiconductor device, the method further includes planarizing a top layer of the gate structure using a plurality of chemical mechanical polishing (CMP) operations, and using a decontamination process before preprocessing the surface of the gate structure. Ionic (DI) water rinses pre-clean the surface of the gate structure.

尽管在本公开的前述详细的描述中已经呈现至少一个范例性实施例,但是应该理解仍存在大量的变化例。也应当理解,范例性实施例或多个范例性实施例仅是范例,并不旨在以任何方式限制本公开的范围、适用性或配置。相反地,前述详细的描述将为本领域技术人员提供用于实施本公开范例性实施例的方便路线指引。应当理解,在不脱离如所附的权利要求中阐述的本公开的范围的情况下,可以对范例性实施例中描述的元件的功能和配置进行各种改变。Although at least one exemplary embodiment has been presented in the foregoing detailed description of the present disclosure, it should be understood that numerous variations exist. It should also be understood that the exemplary embodiment or exemplary embodiments are only examples, and are not intended to limit the scope, applicability, or configuration of the disclosure in any way. Rather, the foregoing detailed description will provide those skilled in the art with a convenient road map for implementing exemplary embodiments of the present disclosure. It should be understood that various changes may be made in the function and arrangement of the elements described in the exemplary embodiments without departing from the scope of the disclosure as set forth in the appended claims.

Claims (10)

1. A semiconductor device, comprising:
a gate structure over a semiconductor substrate, the gate structure comprising:
a high-k dielectric layer;
a P-type work function layer;
an N-type work function layer;
an anti-reaction layer comprising a dielectric material; and
A glue layer; and
a continuous metal cap over the gate structure, the continuous metal cap formed by:
depositing a metal material over the gate structure during a plurality of first deposition operations, the metal material forming a discontinuous metal cap;
selectively removing a portion of the anti-reaction layer during a plurality of first wet chemical operations;
depositing additional metal material over the gate structure during a plurality of second deposition operations to create the continuous metal cap; and
The continuous metal cap is inhibited from growing during a plurality of second wet chemical operations.
2. The semiconductor device of claim 1, wherein the gate structure is prepared to form the continuous metal cap over the gate structure, the gate structure prepared by:
planarizing a top layer of the gate structure using a planarization operation;
Pre-cleaning the surface of the grid structure by using deionized water for flushing; and
The surface of the gate structure is pre-treated with an oxidation or nitridation process.
3. The semiconductor device of claim 1, wherein a portion of the anti-reaction layer is selectively removed using dilute hydrofluoric acid or an etching solution comprising ammonium hydroxide, hydrogen peroxide, and water.
4. A method of forming a continuous metal cap over a metal gate structure, comprising:
a receiving gate structure, the gate structure comprising:
a high-k dielectric layer;
a P-type work function layer;
an N-type work function layer;
an anti-reaction layer comprising a dielectric material; and
A glue layer;
pre-treating the surface of the gate structure using an oxidation or nitridation process;
depositing a metal material over the gate structure using a plurality of first deposition operations, which form a discontinuous metal cap;
selectively removing a portion of the anti-reaction layer using a plurality of first wet chemical operations;
depositing additional metal material over the gate structure using a plurality of second deposition operations to create the continuous metal cap; and
the continuous metal cap growth is inhibited using a plurality of second wet chemical operations.
5. The method of forming the continuous metal cap over a metal gate structure of claim 4, further comprising forming a via gate over the metal cap, wherein forming the via gate over the metal cap comprises:
forming an opening through the interlayer dielectric material using a plurality of etching operations to contact the metal cap; and
A metallic material is deposited in the opening using a plurality of deposition operations.
6. The method of claim 4, wherein the plurality of first deposition operations and the plurality of second deposition operations comprise a plurality of atomic layer deposition operations, and wherein the metal cap comprises tungsten deposited by tungsten chloride and hydrogen.
7. The method of forming the continuous metal cap over a metal gate structure as recited in claim 4 wherein the plurality of first wet chemical operations for removing the anti-reaction layer comprises rinsing with dilute hydrofluoric acid.
8. The method of forming the continuous metal cap over a metal gate structure as recited in claim 4, wherein the plurality of second wet chemical operations for inhibiting growth of the metal cap comprises a wet etching operation using an ozone solution.
9. A method of manufacturing a semiconductor device, comprising:
a receiving gate structure, the gate structure comprising:
a high-k dielectric layer;
a P-type work function layer;
an N-type work function layer;
an anti-reaction layer comprising a dielectric material; and
A glue layer;
pretreating the surface of the gate structure with oxygen or hydrogen/nitrogen plasma treatment;
depositing a first metal material comprising a tungsten material or a molybdenum material over the gate structure using a plurality of first atomic layer deposition operations, which form a discontinuous metal cap;
selectively removing a portion of the anti-reaction layer using dilute hydrofluoric acid;
depositing a second metal material comprising tungsten or molybdenum over the gate structure using a plurality of second atomic layer deposition operations to create a continuous metal cap;
inhibiting growth of the metal cap by removing unwanted metal material from the plurality of side spacers by a wet etching operation using an ozone solution; and
forming a via gate over the metal cap, wherein forming the via gate over the metal cap comprises:
forming an opening through the interlayer dielectric material using a plurality of etching operations to contact the metal cap; and
A metallic material is deposited in the opening using a plurality of deposition operations.
10. The method of manufacturing a semiconductor device of claim 9, further comprising planarizing a top layer of the gate structure using a plurality of chemical mechanical polishing operations and pre-cleaning the surface of the gate structure using a deionized water rinse prior to pre-treating the surface of the gate structure.
CN202311143404.1A 2022-09-16 2023-09-06 Semiconductor device, method of manufacturing the same, and method of forming continuous metal cap Pending CN117423736A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/375,930 2022-09-16
US18/153,597 US20240097005A1 (en) 2022-09-16 2023-01-12 Area-selective removal and selective metal cap
US18/153,597 2023-01-12

Publications (1)

Publication Number Publication Date
CN117423736A true CN117423736A (en) 2024-01-19

Family

ID=89531477

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311143404.1A Pending CN117423736A (en) 2022-09-16 2023-09-06 Semiconductor device, method of manufacturing the same, and method of forming continuous metal cap

Country Status (1)

Country Link
CN (1) CN117423736A (en)

Similar Documents

Publication Publication Date Title
US11355611B2 (en) Multi-gate device and method of fabrication thereof
US9559190B2 (en) Semiconductor structure and manufacturing method thereof
US7939392B2 (en) Method for gate height control in a gate last process
US8093116B2 (en) Method for N/P patterning in a gate last process
US7923321B2 (en) Method for gap filling in a gate last process
US8735235B2 (en) Integrated circuit metal gate structure and method of fabrication
KR102495808B1 (en) Contact plug
CN220510045U (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
TWI854525B (en) Semiconductor device, fabrication method of the same, and method of forming continuous metal cap over metal gate structure
CN220569680U (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
CN117423736A (en) Semiconductor device, method of manufacturing the same, and method of forming continuous metal cap
TWI850096B (en) Semiconductor device and method for forming gate structure
TWI859921B (en) Semiconductor device and manufacturing method thereof
US20240096630A1 (en) Semiconductor device and manufacturing method thereof
CN117936571A (en) Semiconductor device and method for forming gate structure
TW202431545A (en) Semiconductor device and method fabricating the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination