[go: up one dir, main page]

CN117410278A - Semiconductor die package and method of forming the same - Google Patents

Semiconductor die package and method of forming the same Download PDF

Info

Publication number
CN117410278A
CN117410278A CN202311253960.4A CN202311253960A CN117410278A CN 117410278 A CN117410278 A CN 117410278A CN 202311253960 A CN202311253960 A CN 202311253960A CN 117410278 A CN117410278 A CN 117410278A
Authority
CN
China
Prior art keywords
semiconductor die
semiconductor
dielectric layer
region
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311253960.4A
Other languages
Chinese (zh)
Inventor
叶宗浩
刘建宏
陈宪融
汪信亨
黄国钦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/151,084 external-priority patent/US20240105644A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117410278A publication Critical patent/CN117410278A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/60Protection against electrostatic charges or discharges, e.g. Faraday shields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一种半导体晶粒封装包括在第一半导体晶粒的组件区上的高介电常数介电层。第一半导体晶粒以晶片叠晶片(wafer on wafer,WOW)型配置方式接合在一起。硅穿孔结构贯穿组件区。高介电常数介电层具有本质负电荷极性,以提供用于调整组件区内的电位的耦合电压。特别来说,高介电常数介电层中的电子载流子吸引组件区中的电洞载流子,此抑制刻蚀容纳硅穿孔结构的凹陷时形成的表面缺陷所导致的陷阱辅助通道。据此,本文所述的高介电常数介电层减少在第一半导体晶粒的组件区中的半导体组件内的电流泄漏之可能性(及/或幅值)。

A semiconductor die package includes a high-k dielectric layer over a component region of a first semiconductor die. The first semiconductor dies are bonded together in a wafer on wafer (WOW) type configuration. The silicon through hole structure runs through the component area. The high-k dielectric layer has an intrinsic negative charge polarity to provide a coupling voltage for adjusting the potential within the component region. In particular, electron carriers in the high-k dielectric layer attract hole carriers in the device region, which inhibits trap-assisted channels caused by surface defects formed when etching the recesses housing the silicon via structures. Accordingly, the high-k dielectric layers described herein reduce the likelihood (and/or magnitude) of current leakage within the semiconductor device in the device region of the first semiconductor die.

Description

半导体晶粒封装及其形成方法Semiconductor die packaging and formation method thereof

技术领域Technical field

本揭露实施例是有关于一种半导体晶粒封装及其形成方法。Embodiments of the present disclosure relate to a semiconductor chip package and a forming method thereof.

背景技术Background technique

各种半导体组件封装技术被用以将一或多个半导体晶粒整合至半导体组件封装中。在一些情况下,多个半导体晶粒可堆叠于半导体组件封装中,以使半导体组件封装具有较小的水平或横向占据面积、及/或提高半导体组件的密度。可用于将多个半导体晶粒整合至半导体组件封装的半导体组件封装技术可包括积体扇出型(integrated fanout,InFO)封装、叠层式封装(package on package,POP)、芯片堆叠晶片型(chip on wafer,CoW)封装、晶片叠晶片型(wafer on wafer,WoW)封装、及/或基板上晶片芯片型(chip on waferon substrate,CoWoS)封装以及其他实例。Various semiconductor device packaging technologies are used to integrate one or more semiconductor dies into a semiconductor device package. In some cases, multiple semiconductor dies may be stacked in a semiconductor device package to provide the semiconductor device package with a smaller horizontal or lateral footprint and/or to increase the density of the semiconductor device. Semiconductor device packaging technologies that can be used to integrate multiple semiconductor dies into semiconductor device packages may include integrated fanout (InFO) packaging, package on package (POP), chip stacked die ( chip on wafer (CoW) packaging, wafer on wafer (WoW) packaging, and/or chip on waferon substrate (CoWoS) packaging, as well as other examples.

发明内容Contents of the invention

本揭露的一态样提供一种半导体晶粒封装。半导体晶粒封装包括:第一半导体晶粒;第二半导体晶粒,在第一侧接合于所述第一半导体晶粒,且包括:组件区,包括一或多个半导体组件;以及内连线区,位于所述组件区与所述第一半导体晶粒之间。此外,半导体晶粒封装更包括:介电层,位于所述第二半导体晶粒的相对于所述第一侧的第二侧上,其中所述介电层具有本质负电荷极性;以及导通孔结构,延伸穿过所述介电层与所述组件区,且伸入所述内连线区的一部分。An aspect of the present disclosure provides a semiconductor die package. A semiconductor die package includes: a first semiconductor die; a second semiconductor die bonded to the first semiconductor die on a first side and including: a component region including one or more semiconductor components; and interconnects A region is located between the component region and the first semiconductor die. In addition, the semiconductor die package further includes: a dielectric layer located on a second side of the second semiconductor die relative to the first side, wherein the dielectric layer has an intrinsic negative charge polarity; and a conductor A via structure extends through the dielectric layer and the component area, and extends into a portion of the interconnection area.

本揭露的另一态样提供一种半导体晶粒封装的形成方法。所述方法包括:在半导体晶粒上形成高介电常数介电层,其中所述高介电常数介电层具有负电荷极性;形成穿过所述高介电常数介电层与所述半导体晶粒的组件区并伸入所述半导体晶粒的内连线区的一部分而暴露出所述内连线区中的金属化层的一部分的凹陷;以及在所述凹陷中形成导通孔结构。Another aspect of the present disclosure provides a method of forming a semiconductor die package. The method includes: forming a high-k dielectric layer on a semiconductor die, wherein the high-k dielectric layer has a negative charge polarity; forming a layer through the high-k dielectric layer and the a recess in a component region of a semiconductor die and extending into a portion of an interconnect region of the semiconductor die to expose a portion of a metallization layer in the interconnect region; and forming a via hole in the recess structure.

本揭露的又一态样提供一种半导体晶粒封装。所述半导体晶粒封装包括:第一半导体晶粒;第二半导体晶粒,以第一侧接合于所述第一半导体晶粒,且包括:组件区,包括一或多个半导体组件;以及内连线区,位于所述组件区与所述第一半导体晶粒之间。此外,半导体晶粒封装更包括:高介电常数介电层,在所述第二半导体晶粒的相对于所述第一侧的第二侧上,其中所述高介电常数介电层具有本质负电荷极性;以及硅穿孔结构,延伸穿过所述高介电常数介电层与所述组件区,且伸入所述内连线区的一部分,其中所述硅穿孔结构延伸穿过在所述组件区中邻近于n型井的p型井,且其中所述高介电常数介电层的所述本质负电荷极性经配置以抑制由所述p型井至所述n型井的漏电。Yet another aspect of the present disclosure provides a semiconductor die package. The semiconductor die package includes: a first semiconductor die; a second semiconductor die bonded to the first semiconductor die with a first side and including: a component region including one or more semiconductor components; and A connection area is located between the component area and the first semiconductor die. In addition, the semiconductor die package further includes: a high-k dielectric layer on a second side of the second semiconductor die relative to the first side, wherein the high-k dielectric layer has intrinsically negative charge polarity; and a silicon via structure extending through the high-k dielectric layer and the device region and into a portion of the interconnect region, wherein the silicon through silicon structure extends through a p-type well in the device region adjacent to the n-type well, and wherein the intrinsic negative charge polarity of the high-k dielectric layer is configured to inhibit transition from the p-type well to the n-type Well leakage.

附图说明Description of the drawings

结合附图阅读以下详细说明,会理解本公开的各个方面。应理解,图式所示器件和/或结构未必按比例绘制。因此,为使论述清晰起见,可任意增大和/或减小各种特征的尺寸。Various aspects of the disclosure will be understood by reading the following detailed description in conjunction with the accompanying drawings. It is understood that the devices and/or structures shown in the figures are not necessarily drawn to scale. Therefore, the dimensions of the various features may be arbitrarily increased and/or reduced for clarity of discussion.

图1是绘示实施本文所描述的系统及/或方法所在的范例环境的示意图。FIG. 1 is a schematic diagram illustrating an example environment in which systems and/or methods described herein may be implemented.

图2是本文所描述的范例半导体晶粒封装的示意图。Figure 2 is a schematic diagram of an example semiconductor die package described herein.

图3A与图3B是绘示本文所描述的半导体晶粒封装的一区域的范例实施例的示意图。3A and 3B are schematic diagrams illustrating an example embodiment of a region of a semiconductor die package described herein.

图4是绘示本文所描述的各种高介电常数(high-k)介电材料的电荷极性的范例实施例的示意图。4 is a schematic diagram illustrating example embodiments of charge polarity for various high-k dielectric materials described herein.

图5是绘示本文所描述的空乏区边缘的范例实施例的示意图。Figure 5 is a schematic diagram illustrating an example embodiment of the edge of a depletion region described herein.

图6A至图6E是绘示本文所描述的形成半导体晶粒的范例实施例的示意图。6A-6E are schematic diagrams illustrating example embodiments of forming semiconductor dies described herein.

图7A至图7D是绘示本文所描述的形成半导体晶粒封装的一部分的范例实施例的示意图。7A-7D are schematic diagrams illustrating example embodiments forming part of a semiconductor die package described herein.

图8A至图8D是绘示本文所描述的形成半导体晶粒封装的一部分的范例实施例的示意图。8A-8D are schematic diagrams illustrating example embodiments forming part of a semiconductor die package described herein.

图9是绘示本文所描述的组件的范例构件的示意图。Figure 9 is a schematic diagram illustrating example components of the components described herein.

图10是绘示关联于形成半导体晶粒封装的范例工艺的流程图。10 is a flow diagram illustrating an example process associated with forming a semiconductor die package.

具体实施方式Detailed ways

以下公开内容提供用于实施所提供主题的不同特征的许多不同的实施例或实例。以下阐述组件及布置的具体实例以简化本公开。当然,这些仅为实例而非旨在进行限制。举例来说,在以下说明中,在第二特征之上或第二特征上形成第一特征可包括其中第一特征与第二特征被形成为直接接触的实施例,且也可包括其中在第一特征与第二特征之间可形成附加特征从而使得第一特征与第二特征可不直接接触的实施例。另外,本公开可在各种实例中重复使用参考编号和/或字母。此种重复使用是为了简明及清晰起见,且自身并不表示所论述的各种实施例和/或配置之间的关系。The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components and arrangements are set forth below to simplify the present disclosure. Of course, these are examples only and are not intended to be limiting. For example, in the following description, forming a first feature on or over a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include embodiments in which the first feature is formed in direct contact with the second feature. Embodiments in which additional features may be formed between one feature and a second feature such that the first feature and the second feature may not be in direct contact. Additionally, this disclosure may reuse reference numbers and/or letters in various instances. Such repeated use is for the sake of simplicity and clarity and does not itself imply a relationship between the various embodiments and/or configurations discussed.

此外,为易于说明,本文中可能使用例如“在…之下(beneath)”、“在…下方(below)”、“下部的(lower)”、“在…上方(above)”、“上部的(upper)”等空间相对性用语来阐述图中所示一个组件或特征与另一(其他)组件或特征的关系。除了图中所绘示的取向以外,所述空间相对性用语还旨在囊括组件在使用或操作中的不同取向。装置可具有其他取向(旋转90度或处于其他取向),且本文中所使用的空间相对性描述语可同样相应地作出解释。除非明确地描述,具有相同参考编号的构件预设为具有相同材料组成且具有在相同厚度范围内的厚度。In addition, for ease of explanation, "beneath", "below", "lower", "above", "upper" may be used herein. (upper)" and other spatially relative terms are used to explain the relationship between one component or feature shown in the figure and another (other) component or feature. The spatially relative terms are intended to encompass different orientations of the component in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. Unless explicitly described, components with the same reference number are assumed to have the same material composition and have thicknesses within the same thickness range.

在晶片叠晶片型(wafer on wafer,WoW)半导体晶粒封装中,多个半导体晶粒直接接合,以使得此些半导体晶粒以垂直的方式排列于WoW半导体晶粒封装中。使用直接接合以及垂直堆叠可缩短半导体晶粒之间的内连线长度(其降低功率损号以及讯号传导次数),且可提高包括WoW半导体晶粒封装的半导体组件封装中的半导体晶粒封装密度。In a wafer on wafer (WoW) semiconductor die package, multiple semiconductor die are directly bonded so that the semiconductor die are vertically arranged in the WoW semiconductor die package. The use of direct bonding and vertical stacking can shorten the interconnect length between semiconductor dies (which reduces power loss and signal conduction times) and can increase the density of semiconductor die packaging in semiconductor device packages including WoW semiconductor die packaging. .

WoW半导体晶粒封装中可包括硅穿孔(through silicon via,TSV)结构。TSV结构为加长的导体结构,其延伸穿过WoW半导体晶粒封装的一或多个半导体晶粒的硅衬底(例如组件区)。TSV结构可将一或多个半导体晶粒的后段工艺(back end of line,BEOL)区域电性连接至WoW半导体晶粒封装的重分布结构(以及外部电连接件)。WoW semiconductor die packaging may include through silicon via (TSV) structures. TSV structures are elongated conductor structures that extend through the silicon substrate (eg, device area) of one or more semiconductor dies of a WoW semiconductor die package. The TSV structure can electrically connect the back end of line (BEOL) region of one or more semiconductor dies to the redistribution structure (and external electrical connections) of the WoW semiconductor die package.

在一些情况中,TSV结构可邻近包括于WoW半导体晶粒封装的半导体晶粒的硅衬底中的半导体组件,例如是晶体管或其他类型的半导体组件。特别来说,TSV结构可延伸穿过半导体组件所在的硅衬底中所包括的一或多种掺杂井(例如是p型掺杂井、n型掺杂井)。In some cases, the TSV structure may be adjacent to semiconductor components, such as transistors or other types of semiconductor components, included in the silicon substrate of the semiconductor die of the WoW semiconductor die package. In particular, the TSV structure may extend through one or more doping wells (eg, p-type doping wells, n-type doping wells) included in the silicon substrate where the semiconductor device is located.

形成穿过掺杂井的TSV结构可包括刻蚀硅衬底以形成穿过掺杂井的凹陷,以及在凹陷中沉积一或多种导体材料而形成TSV结构。在一些情况中,用以形成所述凹陷的刻蚀操作可导致硅衬底的在掺杂井中的表面处形成悬键(dangling bond)。此些悬键可作为电荷的陷阱态(trapping state),其可导致在硅衬底中形成陷阱辅助通道(trap-assisttunnel,TAT)。特别来说,若一掺杂井位于具有相反掺杂型的另一掺杂井旁,TAT的形成可导致此两掺杂井之间的电流泄漏。所述电流泄漏可导致形成于此两掺杂井中的半导体组件产生漏电,而具有较差的效能且/或失效。WoW半导体晶粒封装中的TSV结构与半导体组件之间的节距或间距缩短以实现WoW半导体晶粒封装的更高半导体组件密度时,上述电流泄漏变得更常发生。Forming the TSV structure through the doped well may include etching the silicon substrate to form a recess through the doped well and depositing one or more conductive materials in the recess to form the TSV structure. In some cases, the etching operations used to form the recesses can result in the formation of dangling bonds at the surface of the silicon substrate in the doped wells. These dangling bonds can serve as trapping states of charges, which can lead to the formation of trap-assist tunnels (TATs) in the silicon substrate. In particular, if one doping well is located next to another doping well with an opposite doping type, the formation of TAT can cause current leakage between the two doping wells. The current leakage may cause the semiconductor device formed in the two doping wells to leak current, have poor performance and/or fail. The above-mentioned current leakage becomes more common when the pitch or spacing between the TSV structure and the semiconductor components in the WoW semiconductor die package is shortened to achieve higher semiconductor component density of the WoW semiconductor die package.

在本文所描述的实施例中,半导体晶粒封装(例如是WoW半导体晶粒封装)包括第一半导体晶粒的组件区(例如是硅衬底)上的高介电常数(high-k)介电层,其中第一半导体晶粒以WoW配置方式接合至第二半导体晶粒。TSV结构(例如是背侧TSV(backside TSV,BTSV)结构)可经形成以穿过组件区。高介电常数介电层具有本质负电荷极性(intrinsicnegative charge polarity),其提供用以调整组件区中的电位的耦合电压。特别来说,高介电常数介电层中的负电荷(例如是电子载流子)吸引组件区中的电洞载流子(holecharge carrier),此抑制由刻蚀TSV结构的凹陷期间所形成的表面缺陷导致的陷阱辅助通道(trap-assist tunnel,TAT)。因此,本文所描述的高介电常数介电层减少包括在第一半导体晶粒的组件区中的半导体组件的电流泄漏之可能性(及/或幅值)。在还包括其他优点的情况下,此可提高半导体组件的效能,且/或使半导体组件可更紧密的排列且更靠近TSV结构,其可缩短第一半导体晶粒中半导体组件的节距并提高半导体组件密度。In the embodiments described herein, a semiconductor die package (eg, a WoW semiconductor die package) includes a high-k dielectric on a component region of a first semiconductor die (eg, a silicon substrate). An electrical layer wherein the first semiconductor die is bonded to the second semiconductor die in a WoW configuration. TSV structures, such as backside TSV (BTSV) structures, may be formed across the device area. The high-k dielectric layer has intrinsic negative charge polarity, which provides a coupling voltage used to adjust the potential in the device region. Specifically, negative charges (such as electron carriers) in the high-k dielectric layer attract hole charge carriers in the device region. This suppression is caused by the recessing period of etching the TSV structure. Trap-assist tunnel (TAT) caused by surface defects. Accordingly, the high-k dielectric layers described herein reduce the likelihood (and/or magnitude) of current leakage from semiconductor devices included in the device regions of the first semiconductor die. Among other advantages, this can improve the performance of the semiconductor devices and/or allow the semiconductor devices to be arranged more closely and closer to the TSV structure, which can shorten the pitch of the semiconductor devices in the first semiconductor die and improve the Semiconductor component density.

图1是绘示实施本文所描述的系统及/或方法所在的范例环境100的示意图。如图1所示,范例环境100可包括多个半导体处理设备102-114以及晶片/晶粒传送设备116。所述多个半导体处理设备102-114可包括沉积设备102、曝光设备104、显影设备106、刻蚀设备108、平坦化设备110、镀覆设备112、接合设备114及/或其他类型的半导体处理设备。范例环境100所包括的设备可例如是在无尘室、半导体代工厂、半导体处理设施及/或半导体制造设施中。FIG. 1 is a schematic diagram illustrating an example environment 100 in which systems and/or methods described herein are implemented. As shown in FIG. 1 , an example environment 100 may include a plurality of semiconductor processing equipment 102 - 114 and a wafer/die transfer equipment 116 . The plurality of semiconductor processing equipment 102 - 114 may include deposition equipment 102 , exposure equipment 104 , development equipment 106 , etching equipment 108 , planarization equipment 110 , plating equipment 112 , bonding equipment 114 , and/or other types of semiconductor processing. equipment. Example environment 100 may include equipment, for example, in a clean room, a semiconductor foundry, a semiconductor processing facility, and/or a semiconductor manufacturing facility.

沉积设备102为一种半导体处理设备,包括半导体处理腔体以及能够在衬底上沉积各种材料的一或多个组件。在一些实施例中,沉积设备102包括旋转涂布设备,其能够在例如是晶片的衬底上沉积光刻胶层。在一些实施例中,沉积设备102包括化学气相沉积(chemical vapor deposition,CVD)设备(例如是等离子辅助化学气相沉积(plasma-enhanced CVD,PECVD)设备、高密度等离子辅助化学气相沉积(high-density plasma CVD,HDP-CVD)设备、次大气压化学气相沉积(sub-atmospheric CVD,SACVD)设备、低压化学气相沉积(low-pressure CVD,LPCVD)设备、原子层沉积(atomic layer deposition,ALD)设备、等离子辅助原子层沉积(plasma-enhanced atomic layer deposition,PEALD)设备或其他种类的CVD设备。在一些实施例中,沉积设备102包括物理气相沉积(physical vapordeposition,PVD)设备,例如是溅镀设备或其他种类的PVD设备。在一些实施例中,沉积设备102包括外延设备,经配置以通过外延成长来形成组件的层及/或区域。在一些实施例中,范例环境100包括多种类型的沉积设备102。Deposition apparatus 102 is a semiconductor processing apparatus that includes a semiconductor processing chamber and one or more components capable of depositing various materials on a substrate. In some embodiments, deposition apparatus 102 includes a spin coating apparatus capable of depositing a photoresist layer on a substrate, such as a wafer. In some embodiments, the deposition equipment 102 includes chemical vapor deposition (CVD) equipment (eg, plasma-enhanced CVD (PECVD) equipment, high-density plasma-assisted chemical vapor deposition (PECVD) equipment, etc. plasma CVD, HDP-CVD) equipment, sub-atmospheric chemical vapor deposition (sub-atmospheric CVD, SACVD) equipment, low-pressure chemical vapor deposition (low-pressure CVD, LPCVD) equipment, atomic layer deposition (ALD) equipment, Plasma-enhanced atomic layer deposition (PEALD) equipment or other types of CVD equipment. In some embodiments, the deposition equipment 102 includes physical vapordeposition (PVD) equipment, such as sputtering equipment or Other types of PVD equipment. In some embodiments, deposition equipment 102 includes epitaxial equipment configured to form layers and/or regions of components by epitaxial growth. In some embodiments, example environment 100 includes multiple types of deposition Device 102.

曝光设备104为一种半导体处理设备,其能够将光刻胶层暴露至幅射源。举例而言,幅射源可为紫外光(ultraviolet light,UV)源(例如是深紫外光(deep UV)源、极紫外光(extreme UV,EUV)源及/或其类似者)、X光源、电子束(electron beam,e-beam)源及/或其类似者)。曝光设备104可将光刻胶层暴露至幅射源,以将光罩的图案转移至光刻胶层。所述图案可包括用于形成一或多个半导体组件的一或多个半导体组件层图案,可包括用于形成半导体组件的一或多个结构的图案,可包括用于刻蚀半导体组件的多个部分的图案及/或其类似者。在一些实施例中,曝光设备104包括扫描式曝光机、步进式曝光机或类似种类的曝光设备。Exposure equipment 104 is a semiconductor processing equipment capable of exposing a photoresist layer to a radiation source. For example, the radiation source may be an ultraviolet light (UV) source (such as a deep UV (deep UV) source, an extreme ultraviolet (EUV) source and/or the like), an X-ray source , electron beam (e-beam) source and/or the like). Exposure equipment 104 can expose the photoresist layer to a radiation source to transfer the pattern of the photomask to the photoresist layer. The pattern may include one or more semiconductor component layer patterns for forming one or more semiconductor components, may include patterns for forming one or more structures of the semiconductor component, may include multiple patterns for etching the semiconductor component. parts and/or the like. In some embodiments, exposure equipment 104 includes a scanning exposure machine, a stepper exposure machine, or similar types of exposure equipment.

显影设备106为一种半导体处理设备,能够对已暴露至幅射源的光刻胶层进行显影,以在光刻胶层上显现出自曝光设备104所转移至光刻胶层的图案。在一些实施例中,曝光设备106通过移除光刻胶层的未经曝光部分来实现图案的显影。在一些实施例中,曝光设备106通过移除光刻胶层的经曝光部分来实现图案的显影。在一些实施例中,曝光设备106通过使用化学曝光剂来溶解光刻胶层的经曝光或未经曝光部分来实现图案的显影。The developing device 106 is a semiconductor processing device capable of developing a photoresist layer that has been exposed to a radiation source to reveal a pattern transferred to the photoresist layer from the exposure device 104 on the photoresist layer. In some embodiments, exposure device 106 achieves development of the pattern by removing unexposed portions of the photoresist layer. In some embodiments, exposure device 106 achieves development of the pattern by removing exposed portions of the photoresist layer. In some embodiments, exposure device 106 achieves development of the pattern by using a chemical exposure agent to dissolve exposed or unexposed portions of the photoresist layer.

刻蚀设备108为一种半导体处理设备,其能够对衬底、晶片或半导体组件的各种材料进行刻蚀。举例而言,刻蚀设备108可包括湿式刻蚀设备、干式刻蚀设备或其类似者。在一些实施例中,刻蚀设备108包括填有刻蚀剂的腔体,且衬底被放置在腔体中一段特定时间,而以特定量移除衬底的一或多个部分。在一些实施例中,刻蚀设备108可使用等离子刻蚀或等离子辅助刻蚀来刻蚀衬底的一或多个部分。所述等离子刻蚀或等离子辅助刻蚀可包含使用解离气体来等向性地或指向性地刻蚀衬底的一或多个部分。The etching apparatus 108 is a semiconductor processing apparatus capable of etching various materials of substrates, wafers, or semiconductor components. For example, the etching apparatus 108 may include a wet etching apparatus, a dry etching apparatus, or the like. In some embodiments, the etching apparatus 108 includes a chamber filled with etchant, and the substrate is placed in the chamber for a specified period of time to remove one or more portions of the substrate in a specified amount. In some embodiments, etching apparatus 108 may use plasma etching or plasma-assisted etching to etch one or more portions of the substrate. The plasma etching or plasma-assisted etching may include using a dissociated gas to isotropically or directionally etch one or more portions of the substrate.

平坦化设备110为一种半导体处理设备,能够对晶片或半导体组件的多个层进行研磨(polishing)或平坦化(planarizing)。举例而言,平坦化设备110可包括化学机械平坦化(chemical mechanical planarization,CMP)设备及/或研磨或平坦化镀覆材料的另一种平坦化设备。平坦化设备110可经由化学与机械能(例如是化学刻蚀与无磨粒研磨)来研磨或平坦化半导体组件的表面。平坦化设备110可搭配使用磨粒、腐蚀性化学浆料(corrosive chemical slurry)以及研磨垫与夹持环(例如是直径大于半导体组件的直径)。研磨垫与半导体组件经动态的研磨头(dynamic polishing heat)压合,且被夹持环所固持。动态研磨头可以不同轴旋转,以移除材料且将半导体组件的不规则表面(irregulartopography)平坦化,而使得半导体组件变为平坦(flat/planar)。The planarization equipment 110 is a semiconductor processing equipment capable of polishing or planarizing multiple layers of a wafer or semiconductor component. For example, planarization equipment 110 may include a chemical mechanical planarization (CMP) equipment and/or another planarization equipment that grinds or planarizes the plating material. The planarization device 110 can grind or planarize the surface of the semiconductor component through chemical and mechanical energy (eg, chemical etching and abrasive-free grinding). The planarization device 110 may use abrasive particles, corrosive chemical slurry, polishing pads and clamping rings (for example, with a diameter larger than the diameter of the semiconductor device). The polishing pad and the semiconductor component are pressed together by a dynamic polishing heat and held by a clamping ring. The dynamic grinding head can rotate non-axially to remove material and planarize the irregular topography of the semiconductor component so that the semiconductor component becomes flat/planar.

镀覆设备112为一种半导体处理设备,能够在衬底(例如是晶片、半导体组件及/或类似者)或衬底的一部分上镀覆一或多种金属。举例而言,镀覆设备112可包括铜电镀组件、铝电镀组件、镍电镀组件、锡电镀组件、化合物/合金(例如是锡-银、锡-铅及/或其类似者)电镀组件及/或一或多种其他导体材料、金属及/或类似材料的电镀组件。Plating equipment 112 is a semiconductor processing equipment capable of plating one or more metals on a substrate (eg, a wafer, a semiconductor component, and/or the like) or a portion of a substrate. For example, the plating equipment 112 may include copper plating components, aluminum plating components, nickel plating components, tin plating components, compound/alloy (eg, tin-silver, tin-lead, and/or the like) plating components, and/or or one or more other electroplated components of conductive materials, metals and/or similar materials.

接合设备114为一种半导体处理设备,能够接合二个或更多任务件(例如是二个或更多半导体衬底、二个或更多半导体组件、二个或更多半导体晶粒)。举例而言,接合设备114可包括混合接合设备(hybrid bonding tool)。混合接合设备为经配置以直接地经由铜对铜连接(或其他金属的直接连接)而接合半导体晶粒的接合设备。作为另一实例,接合设备114可包括共晶接合设备,能够通过形成共晶键结而接合二个或更多晶片。在此实例中,接合设备114可对二个或多个晶片进行加热,以在此些晶片的材料之间形成共晶系统。The bonding equipment 114 is a semiconductor processing equipment capable of bonding two or more workpieces (eg, two or more semiconductor substrates, two or more semiconductor components, and two or more semiconductor dies). For example, bonding equipment 114 may include a hybrid bonding tool. Hybrid bonding equipment is a bonding equipment configured to join semiconductor dies directly via copper-to-copper connections (or other metal direct connections). As another example, bonding apparatus 114 may include a eutectic bonding apparatus capable of bonding two or more wafers by forming a eutectic bond. In this example, bonding apparatus 114 may heat two or more wafers to form a eutectic system between the materials of the wafers.

晶片/晶粒传送设备116包括活动的机器人、机械手臂、轨道运载工具(tram/railcar)、空中行走式搬运(overhead hoist transport,OHT)系统、自动物料搬运系统(automated materially handling system,AMHS)或经配置以在半导体处理设备102-114之间传送衬底及/或半导体组件、经配置以在相同半导体处理设备的不同处理腔体之间传送衬底及/或半导体组件、且/或经配置以将衬底及/或半导体组件重送至例如是晶片架(wafer rack)、储藏室及/或其类似者的其他位置或从此些位置取出衬底及/或半导体组件)的另一种组件。在一些实施例中,晶片/晶粒传送设备116可为经程序化的组件,其经配置以沿着特定路径移动且/或可自主或半自主地操作。在一些实施例中,范例环境100包括多个晶片/晶粒传送设备116。Wafer/die transfer equipment 116 includes movable robots, robotic arms, tram/railcars, overhead hoist transport (OHT) systems, automated materially handling systems (AMHS), or configured to transfer substrates and/or semiconductor components between semiconductor processing equipment 102-114, configured to transfer substrates and/or semiconductor components between different processing chambers of the same semiconductor processing equipment, and/or configured Another component for re-transmitting substrates and/or semiconductor components to or removing substrates and/or semiconductor components from other locations such as wafer racks, storage chambers, and/or the like) . In some embodiments, the wafer/die transfer device 116 may be a programmed component that is configured to move along a specific path and/or may operate autonomously or semi-autonomously. In some embodiments, the example environment 100 includes multiple wafer/die transfer devices 116 .

举例而言,晶片/晶粒传送设备116可包括于多腔式设备(cluster tool)或包括多个处理腔式的另一种设备中,且可例如是经配置以在多个处理腔室之间传送衬底及/或半导体组件、在处理腔室与暂存区(buffer area)之间传送衬底及/或半导体组件、在处理腔室与例如是设备前端模块(equipment front end module,EFEM)的中介设备(interfacetool)之间传送衬底及/或半导体组件、且/或在处理腔室与传送载体(例如是前开式晶片传送盒(front opening unified pod,FOUP))之间传送衬底及/或半导体组件。在一些实施例中,晶片/晶粒传送设备116可包括于多腔室沉积设备102中,其可包括预清洁处理腔室(例如是用于自衬底及/或半导体组件清理或移除氧化物、氧化及/或其他类型的污染物或副产物)以及多种沉积处理腔室(例如是用于沉积不同种类的材料的处理腔室、用于进行多种沉积操作的处理腔室)。在此些实施例中,晶片/晶粒传送设备116经配置以在不破真空(或至少维持部分真空)的情况下于沉积设备102的处理腔室之间传送衬底/半导体组件。For example, the wafer/die transfer apparatus 116 may be included in a cluster tool or another type of apparatus that includes a plurality of processing chambers, and may be configured, for example, to transfer between the plurality of processing chambers. Transferring substrates and/or semiconductor components between processing chambers and buffer areas, transferring substrates and/or semiconductor components between processing chambers and, for example, equipment front end modules (EFEM) ), and/or transfer substrates and/or semiconductor components between processing chambers and transfer carriers (such as front opening unified pods (FOUP)). substrate and/or semiconductor components. In some embodiments, wafer/die transfer equipment 116 may be included in multi-chamber deposition equipment 102, which may include a pre-clean processing chamber (eg, for cleaning or removing oxide from substrates and/or semiconductor components). materials, oxidation and/or other types of contaminants or by-products) and a variety of deposition processing chambers (eg, processing chambers for depositing different types of materials, processing chambers for performing various deposition operations). In such embodiments, the wafer/die transfer apparatus 116 is configured to transfer substrates/semiconductor components between the processing chambers of the deposition apparatus 102 without breaking the vacuum (or at least maintaining a partial vacuum).

在一些实施例中,一或多个半导体处理设备102-114及/或晶片/晶粒传送设备116可进行本文所描述的一或多个半导体处理操作。举例而言,半导体处理设备102-114中的一或多者及/或晶片/晶粒传送设备116可用以:将第一半导体晶粒与第二半导体晶粒在接合界面处接合,其中接合界面位于第二半导体晶粒的第一侧;在第二半导体晶粒的相对于第一侧的第二侧形成高介电常数介电层,其中高介电常数介电层具有负电荷极性(negativecharge polarity);自第二半导体晶粒的第二侧形成穿过高介电常数介电层与第二半导体晶粒的组件区而伸入第二半导体晶粒的内连线区以暴露出内连线区内的一部分金属化层的凹陷;且/或在凹陷中形成BTSV结构。In some embodiments, one or more semiconductor processing equipment 102 - 114 and/or wafer/die transfer equipment 116 may perform one or more semiconductor processing operations described herein. For example, one or more of the semiconductor processing equipment 102 - 114 and/or the wafer/die transfer equipment 116 may be used to: join the first semiconductor die and the second semiconductor die at a bonding interface, where the bonding interface is located on a first side of the second semiconductor die; and a high-k dielectric layer is formed on a second side of the second semiconductor die relative to the first side, wherein the high-k dielectric layer has a negative charge polarity ( negativecharge polarity); forming an interconnect region from the second side of the second semiconductor die through the high-k dielectric layer and the device region of the second semiconductor die and extending into the second semiconductor die to expose the internal A recess in a portion of the metallization layer in the connection area; and/or a BTSV structure is formed in the recess.

图1所示的组件标号与配置方式提供为一或多个实例。实际上,可相较于图1所示而有额外的组件、较少的组件、不同的组件或以不同配置方式配置的组件。再者,图1所示的二或更多组件可实施为单一组件。或者图1所示的单一组件可实施为多个分开的组件。额外地或替代地,范例环境100的一组组件(例如是一或多个组件)可进行被描述成以范例环境100的另一组组件所进行的操作。The component labels and configuration methods shown in Figure 1 are provided as one or more instances. In practice, there may be additional components, fewer components, different components, or components configured in a different configuration than shown in FIG. 1 . Furthermore, two or more components shown in FIG. 1 may be implemented as a single component. Alternatively, the single component shown in Figure 1 may be implemented as multiple separate components. Additionally or alternatively, a set of components (eg, one or more components) of the example environment 100 may perform operations described as being performed by another set of components of the example environment 100 .

图2是本文所描述的范例半导体晶粒封装200的示意图。半导体晶粒封装200包括范例晶片叠晶片型(wafer on wafer,WoW)半导体晶粒封装或其中半导体晶粒直接接合且垂直地配置或堆叠的另一种半导体晶粒封装。FIG. 2 is a schematic diagram of an example semiconductor die package 200 described herein. The semiconductor die package 200 includes an example wafer on wafer (WoW) semiconductor die package or another semiconductor die package in which the semiconductor dies are directly bonded and vertically configured or stacked.

如图2所示,半导体晶粒封装200包括第一半导体晶粒202与第二半导体晶粒204。在一些实施例中,半导体晶粒封装200包括额外的半导体晶粒。第一半导体晶粒202可包括系统单芯片(system on chip,SoC)晶粒,例如是逻辑晶粒、中央处理单元(centralprocessing unit,CPU)晶粒、图像处理单元(graphics processing unit,GPU)晶粒及/或其他类型的SoC晶粒。额外地或替代地,第一半导体晶粒202可包括内存晶粒、输入/输出(input/output,I/O)晶粒、像素传感器(pixel sensor)晶粒及/或其他类型的半导体晶粒。内存晶粒可包括静态随机存取内存(static random access memory,SRAM)晶粒、动态随机存取内存(dynamic random access memory,DRAM)晶粒、反及型闪存晶粒、高带宽内存(high bandwidth memory,HBM)晶粒及/或其他类型的内存晶粒。第二半导体晶粒204可在类型上与第一半导体晶粒202相同或不同。As shown in FIG. 2 , the semiconductor die package 200 includes a first semiconductor die 202 and a second semiconductor die 204 . In some embodiments, semiconductor die package 200 includes additional semiconductor dies. The first semiconductor die 202 may include a system on chip (SoC) die, such as a logic die, a central processing unit (CPU) die, or a graphics processing unit (GPU) die. die and/or other types of SoC die. Additionally or alternatively, the first semiconductor die 202 may include a memory die, an input/output (I/O) die, a pixel sensor die, and/or other types of semiconductor die. . Memory chips may include static random access memory (SRAM) chips, dynamic random access memory (DRAM) chips, NAND flash memory chips, high bandwidth memory (high bandwidth) memory, HBM) die and/or other types of memory die. The second semiconductor die 204 may be the same or different in type than the first semiconductor die 202 .

第一半导体晶粒202与第二半导体晶粒204可在接合界面206处彼此接合(例如是直接接合)。在一些实施例中,在第一半导体晶粒202与第二半导体晶粒204之间的接合界面206处可包括一或多层材料层,例如是一或多层保护层(passivation layer)、一或多层接合膜及/或一或多层及他类型的材料层。在一些实施例中,第二半导体晶粒204的厚度在约0.5微米(μm)至约5μm的范围中。然而,具有在其他范围中的厚度的第二半导体晶粒204亦落于本揭露的范畴之中。The first semiconductor die 202 and the second semiconductor die 204 may be bonded to each other (eg, directly bonded) at the bonding interface 206 . In some embodiments, the bonding interface 206 between the first semiconductor die 202 and the second semiconductor die 204 may include one or more material layers, such as one or more passivation layers, a Or multiple layers of bonding films and/or one or more layers and other types of material layers. In some embodiments, the thickness of the second semiconductor die 204 is in the range of about 0.5 microns (μm) to about 5 μm. However, second semiconductor die 204 having thicknesses in other ranges also fall within the scope of this disclosure.

第一半导体晶粒202可包括组件区208以及邻近于组件区208及/或位于组件区208之上的内连线区210。在一些实施例中,第一半导体晶粒202可包括额外的区域。相似地,第二半导体晶粒204可包括组件区212以及邻近于组件区212及/或位于组件区212之上的内连线区214。在一些实施例中,第二半导体晶粒204可包括额外的区域。第一半导体晶粒202与第二半导体晶粒204可在内连线区210、214处彼此接合。接合界面206可位于内连线区214的面向内连线区210的第一侧,且对应至第二半导体晶粒204的第一侧。The first semiconductor die 202 may include a device region 208 and an interconnect region 210 adjacent and/or above the device region 208 . In some embodiments, first semiconductor die 202 may include additional regions. Similarly, the second semiconductor die 204 may include a device region 212 and an interconnect region 214 adjacent and/or above the device region 212 . In some embodiments, the second semiconductor die 204 may include additional regions. The first semiconductor die 202 and the second semiconductor die 204 may be bonded to each other at interconnect regions 210, 214. The bonding interface 206 may be located on a first side of the interconnect region 214 facing the interconnect region 210 and corresponding to the first side of the second semiconductor die 204 .

组件区208、212可分别包括硅衬底、由包括硅的材料所形成的衬底或III-V族化合物半导体材料衬底(例如是砷化镓衬底、绝缘体上覆硅,例如是(silicon on insulator,SOI)衬底、锗衬底、硅锗衬底、碳化硅衬底或其他类型的半导体衬底。组件区212可包括在组件区212的衬底中的一或多个半导体组件216。组件区208可包括在组件区208的衬底中的一或多个半导体组件218。半导体组件216、218可分别包括一或多个晶体管(例如是平面型晶体管、鳍式场效晶体管(fin field effect transistor,FinFET)、奈米片晶体管(例如是栅极全环绕(gate all around,GAA)晶体管))、内存胞元、电容、电感、电阻、像素传感器及/或其他类型的半导体组件。Component regions 208, 212 may each include a silicon substrate, a substrate formed of a material including silicon, or a III-V compound semiconductor material substrate (eg, a gallium arsenide substrate, a silicon-on-insulator, such as a silicon-on-insulator substrate). on an insulator (SOI) substrate, a germanium substrate, a silicon germanium substrate, a silicon carbide substrate, or other types of semiconductor substrates. Component region 212 may include one or more semiconductor components 216 in the substrate of component region 212 . Device region 208 may include one or more semiconductor devices 218 in the substrate of device region 208. Semiconductor devices 216, 218 may each include one or more transistors (eg, planar transistors, fin field effect transistors (fin field effect transistors)). field effect transistor (FinFET), nanosheet transistors (such as gate all around (GAA) transistors), memory cells, capacitors, inductors, resistors, pixel sensors and/or other types of semiconductor components.

内连线区210、214可称为后段工艺(back end of line,BEOL)区。内连线区212可包括一或多层介电层220,其可包括氮化硅、氧化物(例如是氧化硅及/或其他氧化物材料)、低介电常数(low-k)介电材料及/或其他类型的介电材料。在一些实施例中,一或多层刻蚀停止层(etchig stop layer,ESL)可包括于一或多层介电层220之间。举例而言,ESL可包括氧化铝、氮化铝、氮化硅、氮氧化硅、氮氧化铝及/或氧化硅等。The interconnect areas 210 and 214 may be called back end of line (BEOL) areas. Interconnect region 212 may include one or more dielectric layers 220 , which may include silicon nitride, oxide (such as silicon oxide and/or other oxide materials), low-k dielectric materials and/or other types of dielectric materials. In some embodiments, one or more etch stop layers (ESL) may be included between one or more dielectric layers 220 . For example, ESL may include aluminum oxide, aluminum nitride, silicon nitride, silicon oxynitride, aluminum oxynitride, and/or silicon oxide, etc.

内连线区210更可包括在一或多层介电层220中的金属化层222。组件区208中的半导体组件218可电性连接及/或实体连接至一或多层金属化层222。金属化层222可包括导线、沟槽、通孔、柱、内连结构及/或其他类型的金属化层。接触结构224可包括于内连线区210的一或多层介电层220中。接触结构224可电性连接及/或实体连接于一或多层金属化层222。接触结构224可包括导电端子、导体接垫、导体柱及/或其他类型的接触结构。金属化层222与接触结构224可分别包括一或多种导体材料,例如是铜、金、银、镍、锡、钌、钴、钨、钛、一或更多种金属、一或更多种导电陶瓷及/或其他类型的导体材料。The interconnect region 210 may further include a metallization layer 222 in one or more dielectric layers 220 . Semiconductor devices 218 in device region 208 may be electrically and/or physically connected to one or more metallization layers 222 . Metallization layer 222 may include wires, trenches, vias, pillars, interconnect structures, and/or other types of metallization layers. Contact structure 224 may be included in one or more dielectric layers 220 of interconnect region 210 . Contact structures 224 may be electrically and/or physically connected to one or more metallization layers 222 . Contact structures 224 may include conductive terminals, conductor pads, conductive posts, and/or other types of contact structures. The metallization layer 222 and the contact structure 224 may each include one or more conductive materials, such as copper, gold, silver, nickel, tin, ruthenium, cobalt, tungsten, titanium, one or more metals, one or more Conductive ceramics and/or other types of conductive materials.

内连线区214可包括一或多层介电层226,其可包括氮化硅、氧化物(例如是氧化硅及/或其他氧化物材料)、低介电常数(low-k)介电材料及/或其他类型的介电材料。在一些实施例中,一或多层刻蚀停止层(etchig stop layer,ESL)可包括于一或多层介电层226之间。举例而言,ESL可包括氧化铝、氮化铝、氮化硅、氮氧化硅、氮氧化铝及/或氧化硅等。Interconnect region 214 may include one or more dielectric layers 226 , which may include silicon nitride, oxide (such as silicon oxide and/or other oxide materials), low-k dielectric materials and/or other types of dielectric materials. In some embodiments, one or more etch stop layers (ESL) may be included between one or more dielectric layers 226 . For example, ESL may include aluminum oxide, aluminum nitride, silicon nitride, silicon oxynitride, aluminum oxynitride, and/or silicon oxide, etc.

内连线区214更可包括在一或多层介电层226中的金属化层228。组件区212中的半导体组件216可电性连接及/或实体连接至一或多层金属化层228。金属化层228可包括导线、沟槽、通孔、柱、内连结构及/或其他类型的金属化层。接触结构230可包括于内连线区214的一或多层介电层226中。接触结构230可电性连接及/或实体连接于一或多层金属化层228。再者,接触结构230可电性连接及/或实体连接于第一半导体晶粒202的接触结构224。接触结构230可包括导电端子、导体接垫、导体柱及/或其他类型的接触结构。金属化层228与接触结构230可分别包括一或多种导体材料,例如是铜、金、银、镍、锡、钌、钴、钨、钛、一或更多种金属、一或更多种导电陶瓷及/或其他类型的导体材料。Interconnect region 214 may further include a metallization layer 228 within one or more dielectric layers 226 . Semiconductor devices 216 in device region 212 may be electrically and/or physically connected to one or more metallization layers 228 . Metallization layer 228 may include wires, trenches, vias, pillars, interconnect structures, and/or other types of metallization layers. Contact structure 230 may be included in one or more dielectric layers 226 of interconnect region 214 . Contact structure 230 may be electrically and/or physically connected to one or more metallization layers 228 . Furthermore, the contact structure 230 may be electrically connected and/or physically connected to the contact structure 224 of the first semiconductor die 202 . Contact structure 230 may include conductive terminals, conductor pads, conductive posts, and/or other types of contact structures. Metallization layer 228 and contact structure 230 may each include one or more conductive materials, such as copper, gold, silver, nickel, tin, ruthenium, cobalt, tungsten, titanium, one or more metals, one or more Conductive ceramics and/or other types of conductive materials.

如图2更示出,半导体晶粒封装200可包括重分布结构232。重分布结构232可包括重分布层(redistribution layer,RDL)及/或其他类型的重分布结构。重分布结构232可经配置以将半导体晶粒202、204的讯号与I/O(以扇出的方式)绕线。As further shown in FIG. 2 , the semiconductor die package 200 may include a redistribution structure 232 . The redistribution structure 232 may include a redistribution layer (RDL) and/or other types of redistribution structures. The redistribution structure 232 may be configured to route signals and I/O (in a fan-out fashion) for the semiconductor dies 202, 204.

重分布结构232可包括一或多层介电层234以及设置于一或多层介电层234中的多层金属化层236。介电层234可包括氮化硅、氧化物(例如是氧化硅及/或其他种类的氧化物材料)、低介电常数(low-k)介电材料及/或其他适合的介电材料。The redistribution structure 232 may include one or more dielectric layers 234 and multiple metallization layers 236 disposed within the one or more dielectric layers 234 . Dielectric layer 234 may include silicon nitride, oxide (such as silicon oxide and/or other types of oxide materials), low-k dielectric materials, and/or other suitable dielectric materials.

重分布结构232的金属化层236可包括一或多种材料,例如示金、铜、银、镍、锡及/或钯等。重分布结构232的金属化层236可包括金属线、通孔、内连结构及/或其他类型的金属化层。The metallization layer 236 of the redistribution structure 232 may include one or more materials, such as gold, copper, silver, nickel, tin, and/or palladium. The metallization layer 236 of the redistribution structure 232 may include metal lines, vias, interconnect structures, and/or other types of metallization layers.

如图2更示出,半导体晶粒封装200可包括穿过组件区212且伸入一部分内连线区214的一或多个BTSV结构238。一或更多BTSV结构238可包括垂直延长的导体结构(例如是导体柱、导通孔),其将第二半导体晶粒204的内连线区214中的一或多层金属化层228电性连接至重分布结构232中的一或多层金属化层236。BTSV结构238可称为硅穿孔(throughsilicon via,TSV)结构,因BTSV结构238完整地延伸穿过硅衬底(例如是组件区212的硅衬底),而非完整地延伸穿过介电层或绝缘层。一或多个BTSV结构238可包括一或多种导体材料,例如是铜、金、银、镍、锡、钌、钴、钨、钛、一或多种金属、一或多种导电陶瓷及/或其他种类的导体材料。As further shown in FIG. 2 , the semiconductor die package 200 may include one or more BTSV structures 238 passing through the device area 212 and extending into a portion of the interconnect area 214 . One or more BTSV structures 238 may include vertically elongated conductor structures (e.g., conductor posts, vias) that electrically connect one or more metallization layers 228 in the interconnect region 214 of the second semiconductor die 204 . to one or more metallization layers 236 in the redistribution structure 232. The BTSV structure 238 may be referred to as a through silicon via (TSV) structure because the BTSV structure 238 completely extends through the silicon substrate (eg, the silicon substrate of the device region 212 ) rather than completely extending through the dielectric layer. or insulation. One or more BTSV structures 238 may include one or more conductive materials, such as copper, gold, silver, nickel, tin, ruthenium, cobalt, tungsten, titanium, one or more metals, one or more conductive ceramics, and/or or other types of conductive materials.

第二半导体晶粒204与重分布结构232之间可包括缓冲氧化层240。特别来说,缓冲氧化层240可接触第二半导体晶粒204的第二侧或位于第二半导体晶粒204的第二侧上方。一或多个BTSV结构238可延伸穿过缓冲氧化层240。缓冲氧化层240可包括作为第二半导体晶粒204的组件区212与重分布结构232之间的缓冲的一或多层氧化层。缓冲氧化层240可包括一或多种氧化物材料,例如是氧化硅、碳氧化硅、氮氧化硅及/或其他种类的氧化物材料。A buffer oxide layer 240 may be included between the second semiconductor die 204 and the redistribution structure 232 . In particular, buffer oxide layer 240 may contact or be located over the second side of second semiconductor die 204 . One or more BTSV structures 238 may extend through the buffer oxide layer 240 . Buffer oxide layer 240 may include one or more oxide layers that serve as buffers between device regions 212 of second semiconductor die 204 and redistribution structures 232 . The buffer oxide layer 240 may include one or more oxide materials, such as silicon oxide, silicon oxycarbide, silicon oxynitride, and/or other types of oxide materials.

在第二半导体晶粒204与重分布结构232之间可包括高介电常数介电层242。特别来说,高介电常数介电层242可位于第二半导体晶粒204的第二侧上方,且接触缓冲氧化层240。一或多个BTSV结构238可延伸穿过高介电常数介电层242。A high-k dielectric layer 242 may be included between the second semiconductor die 204 and the redistribution structure 232 . In particular, the high-k dielectric layer 242 may be located over the second side of the second semiconductor die 204 and contact the buffer oxide layer 240 . One or more BTSV structures 238 may extend through the high-k dielectric layer 242 .

高介电常数介电层242为具有负电荷极性(negative charge polarity)的材料层。换言之,高介电常数介电层242包括具有过量电子载流子(electron charge carrier)的一或多种材料。高介电常数介电层242可具有本质负电荷极性,因高介电常数介电层242所选用的材料可具有过量的电子载流子。高介电常数介电层242的负电荷极性促使组件区212的硅衬底中的电洞载流子被以朝向高介电常数介电层242中的电子载流子之方向吸引。The high-k dielectric layer 242 is a material layer with negative charge polarity. In other words, the high-k dielectric layer 242 includes one or more materials having excess electron charge carriers. The high-k dielectric layer 242 may have an intrinsic negative charge polarity because the material selected for the high-k dielectric layer 242 may have excess electron carriers. The negative charge polarity of the high-k dielectric layer 242 causes the hole carriers in the silicon substrate of the device region 212 to be attracted in a direction toward the electron carriers in the high-k dielectric layer 242 .

如上所述,在刻蚀容纳BTSV结构238的凹陷期间形成的悬键可作为电荷陷阱态,其可导致在组件区212的硅衬底中形成陷阱辅助通道(trap-assist tunnel)。陷阱辅助通道可导致从p型井302而经由BTSV结构238至n型井304的漏电流。漏电流可穿过与半导体组件216相关联的相邻掺杂井。高介电常数介电层242的负电荷极性提供耦合电压,以调整组件区212的硅衬底中的电位。特别来说,高介电常数介电层242中的电子载流子吸引组件区212的硅衬底中的电洞载流子,此抑制在刻蚀容纳BTSV结构238的凹陷期间形成的表面缺陷所导致的陷阱辅助通道。因此,高介电常数介电层242可降低半导体组件216中的漏电流发生的可能性(及/或漏电流的幅值)。As discussed above, dangling bonds formed during etching of the recesses housing BTSV structures 238 may serve as charge trap states, which may result in the formation of trap-assist tunnels in the silicon substrate of device region 212 . The trap auxiliary channel may cause leakage current from the p-well 302 to the n-well 304 via the BTSV structure 238 . Leakage current may pass through adjacent doping wells associated with semiconductor component 216 . The negative charge polarity of high-k dielectric layer 242 provides a coupling voltage to adjust the potential in the silicon substrate of device region 212 . In particular, electron carriers in high-k dielectric layer 242 attract hole carriers in the silicon substrate of device region 212 , which suppresses surface defects formed during etching of recesses housing BTSV structures 238 Resulting trap auxiliary channel. Therefore, the high-k dielectric layer 242 may reduce the likelihood (and/or the magnitude of the leakage current) of leakage current in the semiconductor device 216 .

在一些实施例中,高介电常数介电层242的厚度在约20埃至约/>的范围中,因此能提供足量的电子载流子,以吸引组件区212的硅衬底中的电洞载流子,而抑制陷阱辅助通道。然而,具有其他厚度范围的高介电常数介电层242也包含在本揭露的范畴中。In some embodiments, the high-k dielectric layer 242 has a thickness of about 20 Angstroms. Until about/> Within the range, a sufficient amount of electron carriers can be provided to attract hole carriers in the silicon substrate in the component region 212 and suppress trap auxiliary channels. However, high-k dielectric layers 242 having other thickness ranges are also included within the scope of this disclosure.

高介电常数介电层242可包括一或多种高介电常数介电材料,例如是氧化铪、氧化铝、氧化钽、氧化镓、氧化钛、氧化铌及/或其他适合的高介电常数介电材料等。额外地或替代地,高介电常数介电层242可包括一或多种低介电常数介电材料。高介电常数介电层242的材料及/或厚度可经选择以使得高介电常数介电层242中包括有足量的电子载流子。The high-k dielectric layer 242 may include one or more high-k dielectric materials, such as hafnium oxide, aluminum oxide, tantalum oxide, gallium oxide, titanium oxide, niobium oxide, and/or other suitable high-k dielectric materials. Constant dielectric materials, etc. Additionally or alternatively, high-k dielectric layer 242 may include one or more low-k dielectric materials. The material and/or thickness of the high-k dielectric layer 242 may be selected such that a sufficient number of electron carriers are included in the high-k dielectric layer 242 .

在一些实施例中,高介电常数介电层中的电子载流子的等效表面电荷密度在约每平方公分-8·10-9库伦(亦即-8·10-9C/cm2)至约-1.6·10-7C/cm2的范围中,以提供足量的电子载流子,而能够吸引组件区212的硅衬底中的电洞载流子,且能够抑制陷阱辅助通道。然而,具有在其他范围中的等效表面电荷密度的高介电常数介电层也包含在本揭露的范畴之中。In some embodiments, the electron carriers in the high-k dielectric layer have an equivalent surface charge density of about -8·10 -9 coulombs per square centimeter (i.e., -8·10 -9 C/cm 2 ) to about -1.6·10 -7 C/cm 2 to provide a sufficient amount of electron carriers to attract hole carriers in the silicon substrate in the component region 212 and to suppress trap assistance aisle. However, high-k dielectric layers with equivalent surface charge densities in other ranges are also included within the scope of this disclosure.

凸块下金属层244可包括在一或多层介电层234的顶面上。凸块下金属层244可电性连接至及/或实体连接于重分布结构232的一或多层金属化层236。凸块下金属层244可包括于一或多层介电层234的顶面的凹陷中。凸块下金属层244可包括一或多种导体材料,例如是铜、金、银、镍、锡、钌、钴、钨、钛、一或多种金属、一或多种导电陶瓷及/或其他种类的导体材料。UBM layer 244 may include a top surface of one or more dielectric layers 234 . UBM layer 244 may be electrically connected and/or physically connected to one or more metallization layers 236 of redistribution structure 232 . UBM layer 244 may be included in recesses on the top surface of one or more dielectric layers 234 . UBM layer 244 may include one or more conductive materials, such as copper, gold, silver, nickel, tin, ruthenium, cobalt, tungsten, titanium, one or more metals, one or more conductive ceramics, and/or Other types of conductor materials.

如图2所进一步示出,半导体晶粒封装200可包括导电端子246。导电端子246可电性连接及/或实体连接于凸块下金属层244。凸块下金属层244可经设置以促进导电端子246与重布线结构232中的一或多层金属化层236的接着,且/或为导电端子246提供更强的结构刚度(通过增大导电端子246所连接的表面积)。导电端子246可包括球栅数组(ball gridarray)凸块、平面网格数组(land grid array,LGA)接垫、针格数组(pin grid array,PGA)针脚及/或其他类型的导电端子。导电端子246可使半导体晶粒封装200能够安装至电路板、插槽(例如是LGA插槽)、中介层或半导体组件封装(例如是基板上晶片芯片型(chip onwafer on substrate,CoWoS)封装、积体扇出型(integrated fanout,InFO)封装)的重布线结构及/或其他类型的安装结构。As further shown in FIG. 2 , semiconductor die package 200 may include conductive terminals 246 . The conductive terminals 246 may be electrically connected and/or physically connected to the UBM layer 244 . Under-bump metallization layer 244 may be configured to facilitate attachment of conductive terminals 246 to one or more metallization layers 236 in redistribution structure 232 and/or provide greater structural stiffness to conductive terminals 246 (by increasing conductive surface area to which terminal 246 is connected). The conductive terminals 246 may include ball grid array (ball grid array) bumps, land grid array (LGA) pads, pin grid array (PGA) pins, and/or other types of conductive terminals. The conductive terminals 246 enable the semiconductor die package 200 to be mounted to a circuit board, a socket (eg, an LGA socket), an interposer, or a semiconductor component package (eg, a chip onwafer on substrate (CoWoS) package, Integrated fanout (InFO) package) rewiring structure and/or other types of mounting structures.

如图2所进一步示出,半导体晶粒封装200可包括一或多个区域248,在其中BTSV结构238邻近(例如是邻近、紧邻及/或穿过)第二半导体晶粒204的组件区212中的半导体组件216。随后的图式(例如是图3A与图3B)可参照半导体晶粒封装200的区域248。As further shown in FIG. 2 , the semiconductor die package 200 may include one or more regions 248 in which the BTSV structures 238 are adjacent (eg, adjacent to, immediately adjacent to, and/or through) the device region 212 of the second semiconductor die 204 semiconductor component 216 in . Subsequent drawings (eg, FIGS. 3A and 3B ) may refer to region 248 of semiconductor die package 200 .

如上所述,图2仅提供为一实例。其他实例可相异于参照图2所描述的实例。As mentioned above, Figure 2 is provided as an example only. Other examples may differ from that described with reference to FIG. 2 .

图3A与图3B是绘示本文所描述的半导体晶粒封装200的区域248的范例实施例的示意图。区域248包括在组件区212的硅衬底中的一或多个半导体组件216邻近处延伸且穿过缓冲氧化层240与高介电常数介电层242的BTSV结构238。3A and 3B are schematic diagrams illustrating example embodiments of region 248 of semiconductor die package 200 described herein. Region 248 includes BTSV structure 238 extending adjacent one or more semiconductor devices 216 in the silicon substrate of device region 212 and through buffer oxide layer 240 and high-k dielectric layer 242 .

如图3A所示,多个掺杂区包括于组件区212的硅衬底中。举例而言,p型井302可包括于组件区212的硅衬底中。p型井302可包括硅衬底的经掺杂有一或多种p型掺质(例如是硼或锗等掺质)的部分。作为另一实例,n型井304可位于组件区212的硅衬底中。n型井304可邻近于p型井302(例如是相邻于p型井302或与p型井302并排),以使得p型井302与n型井304的边界在界面处相接。n型井304可包括一或多种n型掺质,例如是磷或砷等。在一些实施例中,更包括额外的掺杂区,例如是在n型井304下方的深n型井306。As shown in FIG. 3A , a plurality of doped regions are included in the silicon substrate of device region 212 . For example, p-type well 302 may be included in the silicon substrate of device region 212 . The p-type well 302 may include a portion of the silicon substrate that is doped with one or more p-type dopants, such as boron or germanium. As another example, n-type well 304 may be located in the silicon substrate of component region 212 . The n-type well 304 may be adjacent to the p-type well 302 (eg, adjacent to the p-type well 302 or alongside the p-type well 302) such that the boundaries of the p-type well 302 and the n-type well 304 meet at the interface. The n-type well 304 may include one or more n-type dopants, such as phosphorus or arsenic. In some embodiments, additional doped regions are included, such as a deep n-type well 306 below the n-type well 304 .

如图3A所进一步示出,一或多个半导体组件216可包括源极/漏极区308以及源极/漏极区310。在一些实施例中,源极/漏极区308与源极/漏极区310包括在BTSV结构238的相对两侧。在不同的情况中,源极/漏极区称作源极区、漏极区或源极区与漏极区的组合。源极/漏极区308、310可为一或多个半导体组件216的一或多个晶体管的源极/漏极区。As further shown in FIG. 3A , one or more semiconductor components 216 may include source/drain regions 308 and source/drain regions 310 . In some embodiments, source/drain regions 308 and source/drain regions 310 are included on opposite sides of BTSV structure 238 . In various instances, the source/drain regions are referred to as source regions, drain regions, or a combination of source and drain regions. Source/drain regions 308 , 310 may be source/drain regions of one or more transistors of one or more semiconductor devices 216 .

源极/漏极区308、310包括掺杂有一或多种掺质的硅。所述掺质例如是p型材料(例如是硼、锗等)、n型材料(例如是磷或砷等)及/或其他类型的掺质。举例而言,源极/漏极区308可包括于n型井304中,且可称作n型源极/漏极区,因源极/漏极区308经一或多种n型掺质所掺杂。作为另一实例,源极/漏极区310可包括于p型井302中,且可称作p型源极/漏极区,因源极/漏极区310经一或多种p型掺质所掺杂。Source/drain regions 308, 310 include silicon doped with one or more dopants. The dopant is, for example, a p-type material (such as boron, germanium, etc.), an n-type material (such as phosphorus or arsenic, etc.) and/or other types of dopants. For example, source/drain region 308 may be included in n-type well 304 and may be referred to as an n-type source/drain region because source/drain region 308 is doped with one or more n-type dopants. doped. As another example, source/drain region 310 may be included in p-type well 302 and may be referred to as a p-type source/drain region because source/drain region 310 is doped with one or more p-type dopants. Mixed with quality.

浅沟槽隔离(shallow trench isolation,STI)区312可包括于源极/漏极区308、310之间,以提供源极/漏极区308、310之间的电性隔离。STI区312可包括介电材料,例如是氧化硅、氮化硅、氮氧化硅、掺氟硅酸盐玻璃(fluoride-doped silicate glass,FSG)、低介电常数介电材料及/或其他适合的绝缘材料。STI区312可包括多层结构,例如是具有一或多层衬层。A shallow trench isolation (STI) region 312 may be included between the source/drain regions 308 and 310 to provide electrical isolation between the source/drain regions 308 and 310. The STI region 312 may include dielectric materials, such as silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), low-k dielectric materials, and/or other suitable of insulating materials. The STI region 312 may include a multi-layer structure, such as one or more liner layers.

如图3A所进一步示出,侧壁间隔件314可包括于BTSV结构238周围,且位于BTSV结构238与p型井302之间。再者,侧壁间隔件314可包括于BTSV结构238与组件区212的硅衬底之间。此外,侧壁间隔件314可包括于BTSV结构238与缓冲氧化层240之间。另外,侧壁间隔件314可包括于BTSV结构238与高介电常数介电层242之间。侧壁间隔件314可包括一或多种介电材料,例如是氧化硅(例如是二氧化硅)、氮化硅及/或氮氧化硅等。As further shown in FIG. 3A , sidewall spacers 314 may be included around the BTSV structure 238 and between the BTSV structure 238 and the p-well 302 . Furthermore, sidewall spacers 314 may be included between the BTSV structure 238 and the silicon substrate of the device region 212 . Additionally, sidewall spacers 314 may be included between the BTSV structure 238 and the buffer oxide layer 240 . Additionally, sidewall spacers 314 may be included between the BTSV structure 238 and the high-k dielectric layer 242 . The sidewall spacers 314 may include one or more dielectric materials, such as silicon oxide (eg, silicon dioxide), silicon nitride, and/or silicon oxynitride.

BTSV结构238可延伸穿过p型井302,但并未穿过n型井304。再者,BTSV结构238并未延伸穿过任何其他n型井,其将导致BTSV结构238与其他n型井之间的直接电流泄漏。BTSV结构238的侧壁以距离D1而与邻近n型井304的p型井302的边缘间隔开,且BTSV结构238的侧壁至p型井302的边缘之间的区域可称为禁区(keep-out-zone,KOZ)。KOZ可视为禁止将BTSV结构238放置在紧邻n型井的p型井302的边缘附近的设计准则。如上所述,在刻蚀用于容纳BTSV结构238的凹陷期间所形成的悬键可作为电荷陷阱态,其可导致在组件区212的硅衬底中形成陷阱辅助通道。陷阱辅助通道可导致从p型井302而经由BTSV结构238至n型井304的电流泄漏。据此,距离D1可经选择以降低或阻止因BTSV结构238所产生的漏电流。BTSV structure 238 may extend through p-well 302 but not through n-well 304 . Furthermore, BTSV structure 238 does not extend through any other n-type wells, which would result in direct current leakage between BTSV structure 238 and other n-type wells. The sidewalls of the BTSV structure 238 are spaced apart from the edge of the p-type well 302 adjacent the n-type well 304 by a distance D1, and the area between the sidewalls of the BTSV structure 238 and the edge of the p-type well 302 may be referred to as a keep -out-zone, KOZ). The KOZ can be considered a design guideline that prohibits placing the BTSV structure 238 near the edge of the p-type well 302 immediately adjacent to the n-type well. As discussed above, the dangling bonds formed during etching of the recesses housing the BTSV structures 238 may act as charge trap states, which may result in the formation of trap-assisted channels in the silicon substrate of the component region 212 . The trap auxiliary channel may cause current leakage from p-well 302 to n-well 304 via BTSV structure 238 . Accordingly, distance D1 may be selected to reduce or prevent leakage current due to BTSV structure 238 .

高介电常数介电层242的负电荷极性提供耦合电压,以调整组件区212的硅衬底中的电位。特别来说,高介电常数介电层242中的电子载流子吸引组件区212的硅衬底中的电洞载流子,其抑制在刻蚀容纳BTSV结构238的凹陷期间形成的表面缺陷所导致的陷阱辅助通道。此使得距离D1得以缩短,且BTSV结构238可在不提高在一或多个半导体组件中的产生漏电流的可能性及/或提高漏电流幅值的情况下而被放置于更靠近p型井302的边缘(例如是p型井302的紧邻或交界于n型井304的边缘)。在一些实施例中,基于高介电常数介电层242的负电荷极性,距离D1在约0.2μm至约2μm的范围中。若不设置高介电常数介电层242,距离D1则在约0.5μm至约50μm的范围中。然而,距离D1在其他范围的情况也落于本揭露的范畴之中。The negative charge polarity of high-k dielectric layer 242 provides a coupling voltage to adjust the potential in the silicon substrate of device region 212 . In particular, electron carriers in high-k dielectric layer 242 attract hole carriers in the silicon substrate of device region 212 , which suppresses surface defects formed during etching of recesses housing BTSV structures 238 Resulting trap auxiliary channel. This allows the distance D1 to be shortened and the BTSV structure 238 to be placed closer to the p-type well without increasing the likelihood of leakage current in one or more semiconductor devices and/or increasing the magnitude of the leakage current. 302 (for example, the edge of the p-type well 302 immediately adjacent to or bordering the n-type well 304). In some embodiments, distance D1 is in the range of about 0.2 μm to about 2 μm based on the negative charge polarity of high-k dielectric layer 242 . If the high-k dielectric layer 242 is not provided, the distance D1 is in the range of about 0.5 μm to about 50 μm. However, situations where the distance D1 is in other ranges also fall within the scope of this disclosure.

图3B绘示沿着图3A的A-A线的切面示意图(沿着此切面由上往下看的上视示意图)。如图3B所示,侧壁间隔件314可环绕BTSV结构238,以使BTSV结构238并未直接接触于p型井302(且因此,并未直接接触组件区212的硅衬底)。若BTSV结构238直接接触p型井302,则可导致电流泄漏及/或铜迁移至硅衬底中、及/或导致BTSV结构238自硅衬底分层等问题。FIG. 3B shows a schematic cross-sectional view along line A-A in FIG. 3A (a schematic top view viewed from top to bottom along this cross-section). As shown in FIG. 3B , sidewall spacers 314 may surround BTSV structure 238 such that BTSV structure 238 does not directly contact p-well 302 (and, therefore, does not directly contact the silicon substrate of device region 212 ). If the BTSV structure 238 directly contacts the p-type well 302, problems such as current leakage and/or copper migration into the silicon substrate, and/or delamination of the BTSV structure 238 from the silicon substrate may occur.

如上所述,图3A与图3B提供为一个实例。其他实例可相异于参照图3A与图3B所描述的实例。As mentioned above, FIG. 3A and FIG. 3B are provided as an example. Other examples may differ from those described with reference to Figures 3A and 3B.

图4是绘示本文所描述的各种高介电常数(high-k)介电材料的电荷极性的范例实施例400的示意图。本文所述的高介电常数介电层242可包括一或多种高介电常数介电材料。4 is a schematic diagram illustrating an example embodiment 400 of charge polarity for various high-k dielectric materials described herein. The high-k dielectric layer 242 described herein may include one or more high-k dielectric materials.

如范例实施例400所绘示,电荷极性为界面态密度(Dit)402(单位为eV-1/cm2)与固定电荷密度(Qf/q)404(单位为cm-2)的函数。如图4所示,例如是氧化铪、氧化铝、氧化钽、氮化铝与氧化镓的高介电常数介电材料对应于所有界面态密度而具有负的固定电荷密度404(以氧化铪的实例而言,主要是负的固定电荷密度404)。例如是氧化钛与氧化铌的其他高介电常数介电材料也具有对应于此些高介电常数介电材料的各界面态密度的负固定电荷密度404。As shown in example embodiment 400, the charge polarity is a function of the interface state density (D it ) 402 (in eV −1 /cm 2 ) and the fixed charge density (Q f /q) 404 (in cm −2 ). function. As shown in Figure 4, high-k dielectric materials such as hafnium oxide, aluminum oxide, tantalum oxide, aluminum nitride, and gallium oxide have a negative fixed charge density 404 (in the form of hafnium oxide) corresponding to all interface state densities. For example, mainly negative fixed charge density 404). Other high-k dielectric materials such as titanium oxide and niobium oxide also have negative fixed charge densities 404 corresponding to the respective interface state densities of these high-k dielectric materials.

如上所述,图4提供为一个实例。其他实例可相异于参照图4所描述的实例。As mentioned above, Figure 4 is provided as an example. Other examples may differ from that described with reference to FIG. 4 .

图5是绘示本文所描述的空乏区边缘502的范例实施例500的示意图。空乏区边缘502可出现于本文所描述的第二半导体晶粒204的组件区212的硅衬底中的p型井302内。FIG. 5 is a schematic diagram illustrating an example embodiment 500 of a depletion region edge 502 described herein. Depletion region edge 502 may occur within p-type well 302 in the silicon substrate of component region 212 of second semiconductor die 204 as described herein.

空乏区边缘502代表在p型井302中的空乏区的边缘。在此空乏区(例如是在p型井302中位于n型井304的边缘与空乏区边缘502之间的区域)中,内建电场存在于将被排开的大多数载流子中。若空乏区边缘接触BTSV结构238,则内建电场可容易地产生通过BTSV结构238的侧壁处的悬键的电流泄漏路径。Depletion region edge 502 represents the edge of the depletion region in p-well 302 . In this depletion region (eg, the region in p-well 302 between the edge of n-well 304 and depletion region edge 502), a built-in electric field exists in the majority of carriers that will be displaced. If the edge of the depletion region contacts the BTSV structure 238, the built-in electric field can easily create a current leakage path through the dangling bonds at the sidewalls of the BTSV structure 238.

基于高介电常数介电层242具有负电荷极性,高介电常数介电层242中的负电荷载流子/电子载流子能够吸引p型井302中的电洞载流子,其可抑制空乏区以及减少空乏区的宽度D2。此导致空乏区502可自BTSV结构238退缩,而不是朝向BTSV结构238而扩大(此将导致电流泄漏)。在一些实施例中,高介电常数介电层242的本质负电荷极性导致空乏区的宽度D2小于或约等于1.22μm。然而,具有在其他范围的宽度D2的空乏区也落于本揭露的范畴之中。Since the high-k dielectric layer 242 has negative charge polarity, the negative charge carriers/electron carriers in the high-k dielectric layer 242 can attract the hole carriers in the p-type well 302, which can Suppress the depletion region and reduce the width D2 of the depletion region. This causes the depletion region 502 to recede from the BTSV structure 238 instead of expanding toward the BTSV structure 238 (which would result in current leakage). In some embodiments, the intrinsic negative charge polarity of the high-k dielectric layer 242 results in the width D2 of the depletion region being less than or approximately equal to 1.22 μm. However, depletion regions with width D2 in other ranges also fall within the scope of the present disclosure.

如上所述,图5提供为一个实例。其他实例可相异于参照图5所描述的实例。As mentioned above, Figure 5 is provided as an example. Other examples may differ from that described with reference to FIG. 5 .

图6A至图6E是绘示本文所描述的形成半导体晶粒的范例实施例600的示意图。在一些实施例中,范例实施例600包括用于形成第二半导体晶粒204的范例工艺(或范例工艺的一部分)。将参照图6A至图6E所描述的操作对应于第二半导体晶粒204。可进行相似的操作以形成第一半导体晶粒202。6A-6E are schematic diagrams illustrating an example embodiment 600 of forming a semiconductor die described herein. In some embodiments, example embodiment 600 includes an example process (or a portion of an example process) for forming second semiconductor die 204 . The operations that will be described with reference to FIGS. 6A-6E correspond to the second semiconductor die 204 . Similar operations may be performed to form first semiconductor die 202 .

在一些实施例中,可通过半导体处理设备102-114及/或晶片/晶粒传送设备116来进行参照图6A至图6E所描述的操作。在一些实施例中,可通过其他半导体处理设备来进行参照图6A至图6E所描述的操作。请参照图6A,范例实施例600的一或多个操作可对应第二半导体晶粒204的组件区212中的硅衬底来进行。In some embodiments, the operations described with reference to FIGS. 6A-6E may be performed by semiconductor processing equipment 102 - 114 and/or wafer/die transfer equipment 116 . In some embodiments, the operations described with reference to FIGS. 6A-6E may be performed by other semiconductor processing equipment. Referring to FIG. 6A , one or more operations of the example embodiment 600 may be performed corresponding to the silicon substrate in the device region 212 of the second semiconductor die 204 .

如图6B所示,可在组件区212中形成一或多个半导体组件216。举例而言,半导体处理设备102-114中的一或多者可用以进行光刻图案化操作、刻蚀操作、沉积操作、CMP操作及/或其他种操作,以形成一或多个晶体管、一或多个电容、一或多个记忆胞元及/或一或多个其他类型的半导体组件。在一些实施例中,可在离子植入操作中对组件区的硅衬底中的一或多个区域进行掺杂,以形成一或多个p型井302、一或多个n型井304及/或一或多个深n型井306。在一些实施例中,沉积设备102可用以沉积一或多个源极/漏极区308、一或多个源极/漏极区310及/或一或多个STI区312等。As shown in FIG. 6B , one or more semiconductor devices 216 may be formed in device region 212 . For example, one or more of semiconductor processing equipment 102-114 may be used to perform photolithography patterning operations, etching operations, deposition operations, CMP operations, and/or other operations to form one or more transistors, a or multiple capacitors, one or more memory cells, and/or one or more other types of semiconductor components. In some embodiments, one or more regions of the silicon substrate in the device region may be doped during an ion implantation operation to form one or more p-type wells 302 and one or more n-type wells 304 and/or one or more deep n-wells 306. In some embodiments, deposition apparatus 102 may be used to deposit one or more source/drain regions 308, one or more source/drain regions 310, and/or one or more STI regions 312, etc.

如图6C至图6E所示,可在组件区212的硅衬底表面及/或硅衬底上形成第二半导体晶粒204的内连线区214。半导体处理设备102-114中的一或多者可通过形成一或多层介电层226以及在介电层226中形成多层金属化层228,来形成内连线区214。举例而言,沉积设备102可沉积一或多层介电层226的第一层(例如是通过使用CVD技术、ALD技术、PVD技术及/或其他类型的沉积技术);刻蚀设备108可移除第一层的一些部分以在第一层中形成凹陷;且沉积设备102及/或镀覆设备112可在凹陷中形成多层金属化层228的第一金属化层(例如是通过使用CVD技术、ALD技术、PVD技术、电镀技术及/或其他类型的沉积技术)。第一金属化层的至少一部分可电性连接至及/或实体连接于半导体组件216。沉积设备102、刻蚀设备108、镀覆设备112及/或其他半导体处理设备可持续进行类似处理操作以形成内连线区214,直至达成足够或所希望的金属化层228之配置。As shown in FIGS. 6C to 6E , the interconnect area 214 of the second semiconductor die 204 may be formed on the surface of the silicon substrate in the device area 212 and/or on the silicon substrate. One or more of the semiconductor processing devices 102 - 114 may form interconnect region 214 by forming one or more dielectric layers 226 and forming multiple metallization layers 228 in dielectric layer 226 . For example, deposition apparatus 102 may deposit a first layer of one or more dielectric layers 226 (eg, by using CVD techniques, ALD techniques, PVD techniques, and/or other types of deposition techniques); etching apparatus 108 may move removing portions of the first layer to form recesses in the first layer; and deposition apparatus 102 and/or plating apparatus 112 may form the first metallization layer of multi-layer metallization layer 228 in the recesses (e.g., by using CVD technology, ALD technology, PVD technology, electroplating technology and/or other types of deposition technology). At least a portion of the first metallization layer may be electrically connected and/or physically connected to the semiconductor device 216 . Deposition equipment 102 , etching equipment 108 , plating equipment 112 and/or other semiconductor processing equipment may continue to perform similar processing operations to form interconnect regions 214 until a sufficient or desired configuration of metallization layer 228 is achieved.

如图6E所示,半导体处理设备102-114中的一或多者可用以形成一或多层介电层226的另一层,且可用以形成在此介电层226中形成多个接触结构230,使得接触结构230电性连接及/或实体连接于金属化层228中的一或多者。举例而言,沉积设备102可用以沉积一或多层介电层226中的所述层(例如是通过使用CVD技术、ALD技术、PVD技术及/或其他类型的沉积技术);刻蚀设备108可用以移除此介电层226的一些部分以在此介电层226中形成凹陷;且沉积设备102及/或镀覆设备112可用以在凹陷中形成接触结构230(例如是通过使用CVD技术、ALD技术、PVD技术、电镀技术及/或其他类型的沉积技术)。As shown in FIG. 6E , one or more of the semiconductor processing equipment 102 - 114 may be used to form another layer of one or more dielectric layers 226 and may be used to form a plurality of contact structures in such dielectric layer 226 230 , such that the contact structure 230 is electrically and/or physically connected to one or more of the metallization layers 228 . For example, deposition apparatus 102 may be used to deposit one or more layers of dielectric layer 226 (eg, by using CVD techniques, ALD techniques, PVD techniques, and/or other types of deposition techniques); etching apparatus 108 Portions of the dielectric layer 226 may be removed to form recesses in the dielectric layer 226; and deposition equipment 102 and/or plating equipment 112 may be used to form contact structures 230 in the recesses (eg, by using CVD techniques , ALD technology, PVD technology, electroplating technology and/or other types of deposition technology).

如上所述,图6A至图6E提供为一个实例。其他实例可相异于参照图6A至图6E所描述的实例。As mentioned above, FIGS. 6A to 6E are provided as an example. Other examples may differ from those described with reference to Figures 6A-6E.

图7A至图7D是绘示本文所描述的形成半导体晶粒封装200的一部分的范例实施例700的示意图。在一些实施例中,可通过半导体处理设备102-114及/或晶片/晶粒传送设备116来进行参照图7A至图7D所描述的一或多个操作。在一些实施例中,可通过其他半导体处理设备来进行参照图7A至图7D所描述的一或多个操作。7A-7D are schematic diagrams illustrating an example embodiment 700 forming part of a semiconductor die package 200 described herein. In some embodiments, one or more of the operations described with reference to FIGS. 7A-7D may be performed by semiconductor processing equipment 102 - 114 and/or wafer/die transfer equipment 116 . In some embodiments, one or more of the operations described with reference to FIGS. 7A-7D may be performed by other semiconductor processing equipment.

如图7A所示,第一半导体晶粒202与第二半导体晶粒204在接合界面206处彼此接合,使得第一半导体晶粒202与第二半导体晶粒204在WoW配置中垂直排列或垂直堆叠。接合设备114可用以进行接合操作,以将第一半导体晶粒202与第二半导体晶粒204在接合界面206处彼此接合。接合操作可包括直接接合操作(或混合接合操作),其中通过接触结构224与接触结构230的实体连接来实现第一半导体晶粒202与第二半导体晶粒204的接合。As shown in FIG. 7A , the first semiconductor die 202 and the second semiconductor die 204 are bonded to each other at the bonding interface 206 such that the first semiconductor die 202 and the second semiconductor die 204 are vertically aligned or vertically stacked in a WoW configuration. . The bonding apparatus 114 may be used to perform a bonding operation to bond the first semiconductor die 202 and the second semiconductor die 204 to each other at the bonding interface 206 . The bonding operation may include a direct bonding operation (or a hybrid bonding operation) in which bonding of the first semiconductor die 202 and the second semiconductor die 204 is accomplished through physical connection of the contact structure 224 and the contact structure 230 .

如图7B所示,可在第二半导体晶粒204上形成缓冲氧化层240。第二半导体晶粒204可在第一侧接合于第一半导体晶粒202,而第二半导体晶粒204的第一侧可对应至内连线区214的第一侧。缓冲氧化层204可形成于第二半导体晶粒204的相对于第一侧的第二侧上,而第二半导体晶粒204的第二侧可对应至第二半导体晶粒204的组件区212的第一侧。沉积设备102可通过使用外延技术、CVD技术、PVD技术、ALD技术、参照图1所描述的另一沉积技术及/或不同于参照图1所描述的其他沉积技术来沉积缓冲氧化层240。As shown in FIG. 7B , a buffer oxide layer 240 may be formed on the second semiconductor die 204 . The second semiconductor die 204 may be bonded to the first semiconductor die 202 on a first side, and the first side of the second semiconductor die 204 may correspond to the first side of the interconnect region 214 . The buffer oxide layer 204 may be formed on a second side of the second semiconductor die 204 relative to the first side, and the second side of the second semiconductor die 204 may correspond to the device region 212 of the second semiconductor die 204 . First side. Deposition apparatus 102 may deposit buffer oxide layer 240 using an epitaxial technique, a CVD technique, a PVD technique, an ALD technique, another deposition technique described with reference to FIG. 1 , and/or a deposition technique different from that described with reference to FIG. 1 .

如图7B所更进一步示出,可在第二半导体晶粒204上形成高介电常数介电层242。高介电常数介电层242可形成在第二半导体晶粒204的相对于第一侧的第二侧上,而第二半导体晶粒204的第二侧对应至第二半导体晶粒204的组件区212的第一侧。高介电常数介电层242可形成于缓冲氧化层240上。沉积设备102可通过使用外延技术、CVD技术、PVD技术、ALD技术、参照图1所描述的另一沉积技术及/或不同于参照图1所描述的其他沉积技术来沉积高介电常数介电层242。可在约摄氏150度至约摄氏300度的温度范围中沉积高介电常数介电层242。然而,在其他温度范围形成高介电常数介电层242也落于本揭露的范畴之中。As further shown in FIG. 7B , a high-k dielectric layer 242 may be formed on the second semiconductor die 204 . The high-k dielectric layer 242 may be formed on a second side of the second semiconductor die 204 relative to the first side, and the second side of the second semiconductor die 204 corresponds to a component of the second semiconductor die 204 The first side of area 212. A high-k dielectric layer 242 may be formed on the buffer oxide layer 240 . Deposition apparatus 102 may deposit a high-k dielectric by using an epitaxial technique, a CVD technique, a PVD technique, an ALD technique, another deposition technique described with reference to FIG. 1 , and/or a deposition technique other than that described with reference to FIG. 1 Layer 242. The high-k dielectric layer 242 may be deposited in a temperature range of about 150 degrees Celsius to about 300 degrees Celsius. However, forming the high-k dielectric layer 242 in other temperature ranges also falls within the scope of the present disclosure.

如上所述,高介电常数介电层242可具有本质负电荷极性。据此,形成高介电常数介电层242可包括沉积具有本质负电荷极性的一或多种材料,以形成高介电常数介电层242。在沉积上述一或多种材料时,于其中形成晶格缺陷,而导致本质负电荷极性。As discussed above, the high-k dielectric layer 242 may have an intrinsically negative charge polarity. Accordingly, forming the high-k dielectric layer 242 may include depositing one or more materials having intrinsically negative charge polarity to form the high-k dielectric layer 242 . When one or more of the above materials are deposited, lattice defects are formed in them, resulting in intrinsically negative charge polarity.

如图7C所示,可形成穿过高介电常数介电层242、缓冲氧化层240、组件区212的硅衬底并伸入内连线区214的一部分介电层226的一或多个凹陷702。一或多个凹陷702可暴露出内连线区214中的一或多个部分的金属化层228。因此,一或多个凹陷702可形成于金属化层228的一或多个部分上。As shown in FIG. 7C , one or more dielectric layers 226 may be formed through the high-k dielectric layer 242 , the buffer oxide layer 240 , the silicon substrate of the device region 212 and extending into the interconnect region 214 Depression 702. One or more recesses 702 may expose one or more portions of metallization layer 228 in interconnect region 214 . Accordingly, one or more recesses 702 may be formed on one or more portions of metallization layer 228 .

在一些实施例中,光刻胶层中的一个图案用以形成一或多个凹陷702。在此些实施例中,沉积设备102用以在高介电常数介电层242上形成光刻胶层。曝光设备104用以将光刻胶层暴露至幅射源,以图案化光刻胶层。显影设备106用以进行显影且移除光刻胶层的一些部分,而显现出所述图案。刻蚀设备108用以刻蚀穿过高介电常数介电层242、缓冲氧化层240以及组件区212且伸入内连线区214,而形成一或多个凹陷702。在一些实施例中,刻蚀操作包括等离子刻蚀技术、湿式化学刻蚀技术及/或其他类型的刻蚀技术。在一些实施例中,光刻胶移除设备用以移除光刻胶层的残留部分(例如是通过化学剥离剂、等离子灰化及/或其他技术)。在一些实施例中,作为替代技术,使用硬屏蔽层来基于图案形成一或多个凹陷702。In some embodiments, a pattern in the photoresist layer is used to form one or more recesses 702 . In such embodiments, deposition apparatus 102 is used to form a photoresist layer on high-k dielectric layer 242 . The exposure device 104 is used to expose the photoresist layer to a radiation source to pattern the photoresist layer. The developing device 106 is used to develop and remove some portions of the photoresist layer to reveal the pattern. The etching apparatus 108 is used to etch through the high-k dielectric layer 242 , the buffer oxide layer 240 , and the device region 212 and into the interconnect region 214 to form one or more recesses 702 . In some embodiments, the etching operation includes plasma etching technology, wet chemical etching technology, and/or other types of etching technology. In some embodiments, a photoresist removal device is used to remove remaining portions of the photoresist layer (eg, through chemical strippers, plasma ashing, and/or other techniques). In some embodiments, as an alternative technique, a hard mask layer is used to form one or more recesses 702 based on a pattern.

如图7D所示,可在一或多个凹陷702中形成一或多个BTSV结构238。如此一来,一或多个BTSV结构238延伸穿过高介电常数介电层242、缓冲氧化层240与组件区212,且伸入内连线区214。再者,一或多个BTSV结构238可经形成为邻近于组件区212中的一或多个半导体组件216,且可穿过组件区212的硅衬底中的一或多个p型井302(例如是与一或多个半导体组件216相关联的p型井302)。一或多个BTSV结构238可电性连接及/或实体连接于被一或多个凹陷702所暴露出的一或多个部分的金属化层228。As shown in Figure 7D, one or more BTSV structures 238 may be formed in one or more recesses 702. As a result, one or more BTSV structures 238 extend through the high-k dielectric layer 242 , the buffer oxide layer 240 and the device region 212 , and into the interconnect region 214 . Furthermore, one or more BTSV structures 238 may be formed adjacent to one or more semiconductor devices 216 in device region 212 and may pass through one or more p-type wells 302 in the silicon substrate of device region 212 (For example, p-well 302 associated with one or more semiconductor devices 216). One or more BTSV structures 238 may be electrically connected and/or physically connected to one or more portions of metallization layer 228 exposed by one or more recesses 702 .

沉积设备102及/或镀覆设备112可通过CVD技术、PVD技术、ALD技术、电镀技术、其他参照图1所说明的沉积技术及/或不同于参照图1所说明的沉积技术来沉积一或多个BTSV结构238。在一些实施例中,平坦化设备110可用以进行CMP操作,以在沉积一或多个BTSV结构238之后对一或多个BTSV结构238进行平坦化。Deposition equipment 102 and/or plating equipment 112 may deposit one or more by CVD technology, PVD technology, ALD technology, electroplating technology, other deposition technologies described with reference to FIG. 1 and/or deposition technologies different from those described with reference to FIG. 1 . Multiple BTSV structures 238. In some embodiments, planarization apparatus 110 may be used to perform a CMP operation to planarize one or more BTSV structures 238 after depositing one or more BTSV structures 238 .

如上所述,图7A至图7D提供为一个实例。其他实例可相异于参照图7A至图7D所描述的实例。As described above, FIGS. 7A to 7D are provided as an example. Other examples may differ from those described with reference to Figures 7A-7D.

图8A至图8D是绘示本文所描述的形成半导体晶粒封装200的一部分的范例实施例800的示意图。在一些实施例中,可在进行参照图7A至图7D所描述的一或多个操作之后进行参照图8A至图8D所描述的一或多个操作。在一些实施例中,可通过半导体处理设备102-114中的一或多者及/或晶片/晶粒传送设备116来进行参照图8A至图8D所描述的一或多个操作。在一些实施例中,可通过其他半导体处理设备来进行参照图8A至图8D所描述的一或多个操作。8A-8D are schematic diagrams illustrating an example embodiment 800 forming part of a semiconductor die package 200 described herein. In some embodiments, one or more operations described with reference to FIGS. 8A-8D may be performed after one or more operations described with reference to FIGS. 7A-7D. In some embodiments, one or more of the operations described with reference to FIGS. 8A-8D may be performed by one or more of the semiconductor processing equipment 102 - 114 and/or the wafer/die transfer equipment 116 . In some embodiments, one or more of the operations described with reference to FIGS. 8A-8D may be performed by other semiconductor processing equipment.

如图8A所示,可在第二半导体晶粒204上形成半导体晶粒封装200的重分布结构232。半导体处理设备102-114中的一或多者可用以形成一或多层介电层234以及在介电层234中形成多层金属化层236,来形成重布线结构232。举例而言,沉积设备102可用以沉积一或多层介电层234的第一层(例如是通过使用CVD技术、ALD技术、PVD技术及/或其他类型的沉积技术);刻蚀设备108可移除第一层的一些部分以在第一层中形成凹陷;且沉积设备102及/或镀覆设备112可在凹陷中形成多层金属化层236的第一金属化层(通过使用CVD技术、ALD技术、PVD技术、电镀技术及/或其他类型的沉积技术)。第一金属化层的至少一部分可电性连接及/或实体连接于一或多个BTSV结构238。沉积设备102、刻蚀设备108、镀覆设备112及/或其他半导体处理设备可持续进行类似的操作以形成重分布结构232,直至达成足够或所希望的金属化层236之配置。As shown in FIG. 8A , a redistribution structure 232 of the semiconductor die package 200 may be formed on the second semiconductor die 204 . One or more of semiconductor processing equipment 102 - 114 may be used to form one or more dielectric layers 234 and multiple metallization layers 236 in dielectric layer 234 to form redistribution structure 232 . For example, the deposition apparatus 102 may be used to deposit a first layer of one or more dielectric layers 234 (eg, by using CVD techniques, ALD techniques, PVD techniques, and/or other types of deposition techniques); the etching apparatus 108 may be Portions of the first layer are removed to form recesses in the first layer; and deposition device 102 and/or plating device 112 may form the first metallization layer of multi-layer metallization layer 236 in the recesses (by using CVD techniques , ALD technology, PVD technology, electroplating technology and/or other types of deposition technology). At least a portion of the first metallization layer may be electrically connected and/or physically connected to one or more BTSV structures 238 . Deposition equipment 102 , etching equipment 108 , plating equipment 112 and/or other semiconductor processing equipment may continue to perform similar operations to form redistribution structure 232 until a sufficient or desired configuration of metallization layer 236 is achieved.

如图8B所示,可在一或多层介电层234中形成凹陷802。凹陷802可形成以暴露重分布结构232中的一部分金属化层236。因此,凹陷802可形成于金属化层236的一或多个部分上。As shown in FIG. 8B , recesses 802 may be formed in one or more dielectric layers 234 . Recesses 802 may be formed to expose a portion of metallization layer 236 in redistribution structure 232 . Accordingly, recesses 802 may be formed in one or more portions of metallization layer 236 .

在一些实施例中,使用光刻胶层中的图案来形成凹陷802。在此些实施例中,在此些实施例中,沉积设备102用以在一或多层介电层234上形成光刻胶层。曝光设备104用以将光刻胶层暴露至幅射源,以图案化光刻胶层。显影设备106用以进行显影且移除光刻胶层的一些部分,而显现出所述图案。刻蚀设备108用以刻蚀穿过一或多层介电层234,以形成一或多个凹陷802。在一些实施例中,刻蚀操作包括等离子刻蚀技术、湿式化学刻蚀技术及/或其他类型的刻蚀技术。在一些实施例中,光刻胶移除设备用以移除光刻胶层的残留部分(例如是通过化学剥离剂、等离子灰化及/或其他技术)。在一些实施例中,作为替代技术,使用硬屏蔽层来基于图案形成凹陷802。In some embodiments, recesses 802 are formed using patterns in a photoresist layer. In such embodiments, deposition apparatus 102 is used to form a photoresist layer on one or more dielectric layers 234 . The exposure device 104 is used to expose the photoresist layer to a radiation source to pattern the photoresist layer. The developing device 106 is used to develop and remove some portions of the photoresist layer to reveal the pattern. Etching apparatus 108 is used to etch through one or more dielectric layers 234 to form one or more recesses 802 . In some embodiments, the etching operation includes plasma etching technology, wet chemical etching technology, and/or other types of etching technology. In some embodiments, a photoresist removal device is used to remove remaining portions of the photoresist layer (eg, through chemical strippers, plasma ashing, and/or other techniques). In some embodiments, as an alternative technique, a hard mask layer is used to form the recesses 802 based on the pattern.

如图8C所示,可在凹陷802中形成凸块下金属层244。沉积设备102及/或镀覆设备112可通过CVD技术、PVD技术、ALD技术、电镀技术、参照图1所说明的其他技术及/或不同于参照图1所说明的沉积技术来沉积凸块下金属层244。在一些实施例中,在重分布结构232的包括凹陷802的顶面上沉积导体材料的连续层。随后,例如是通过沉积设备102、曝光设备104以及显影设备106来进行图案化操作以在导体材料的连续层上形成图案,且通过刻蚀设备108而基于所述图案来移除导体材料层的连续层的一些部分。导体材料的连续层的保留部分可对应于凸块下金属层244。As shown in FIG. 8C , an under-bump metal layer 244 may be formed in the recess 802 . The deposition equipment 102 and/or the plating equipment 112 may deposit under the bumps through CVD technology, PVD technology, ALD technology, electroplating technology, other technologies described with reference to FIG. 1 and/or deposition technologies different from those described with reference to FIG. 1 Metal layer 244. In some embodiments, a continuous layer of conductive material is deposited on the top surface of redistribution structure 232 including recesses 802 . Subsequently, a patterning operation is performed to form a pattern on the successive layers of conductive material, for example by a deposition device 102, an exposure device 104 and a development device 106, and the layer of conductive material is removed based on the pattern by an etching device 108. Some parts of consecutive layers. The remaining portion of the continuous layer of conductive material may correspond to under-bump metal layer 244 .

如图8D所示,可在凹陷802中形成位于凸块下金属层244上的导电端子246。在一些实施例中,镀覆设备112用以通过电镀技术来形成导电端子246。在一些实施例中,于凹陷802中配置焊料,而用以形成导电端子246。As shown in FIG. 8D , conductive terminals 246 on under-bump metal layer 244 may be formed in recesses 802 . In some embodiments, plating equipment 112 is used to form conductive terminals 246 through electroplating techniques. In some embodiments, solder is disposed in recess 802 to form conductive terminal 246 .

如上所述,图8A至图8D提供为一个实例。其他实例可相异于参照图8A至图8D所描述的实例。As described above, FIGS. 8A to 8D are provided as an example. Other examples may differ from those described with reference to Figures 8A-8D.

图9是绘示本文所描述的组件900的范例构件的示意图。在一些实施例中,半导体处理设备102-114中的一或多者及/或晶片/晶粒传送设备116可包括一或多个组件900及/或组件900的一或多个构件。如图9所示,组件900可包括汇流排910、处理器920、内存930、输入构件940、输出构件950以及通讯构件960。Figure 9 is a schematic diagram illustrating example components of assembly 900 described herein. In some embodiments, one or more of the semiconductor processing equipment 102 - 114 and/or the wafer/die transfer equipment 116 may include one or more components 900 and/or one or more components of the component 900 . As shown in FIG. 9 , component 900 may include bus 910 , processor 920 , memory 930 , input component 940 , output component 950 , and communication component 960 .

汇流排910可包括能够使组件900的多个构件以有线及/或无线的方式通讯的一或多个构件。汇流排910可将图9所示的二或更多个构件耦合在一起(例如是通过操作耦合(operative coupling)、通讯耦合(communicative coupling)、电性耦合(electroniccoupling)及/或电气耦合(electric coupling))。处理器920可包括中央处理单元、图像处理单元、微处理器、控制器、微控制器、数字信号处理器、现场可程序化逻辑门阵列(field-programmable gate array)、特殊应用集成电路(applicatoin-specific integratedcircuit)及/或其他类型的处理器构件。处理器920实施于硬件、韧体或硬件与软件的组合中。在一些实施例中,处理器920可包括能够被程序化而进行本文他处所描述的一或多个操作或工艺的一或多个处理器。Bus 910 may include one or more components that enable multiple components of assembly 900 to communicate in a wired and/or wireless manner. The bus 910 may couple two or more components shown in FIG. 9 together (for example, through operative coupling, communicative coupling, electronic coupling, and/or electric coupling). coupling)). The processor 920 may include a central processing unit, an image processing unit, a microprocessor, a controller, a microcontroller, a digital signal processor, a field-programmable gate array, an application-specific integrated circuit -specific integrated circuit) and/or other types of processor components. Processor 920 is implemented in hardware, firmware, or a combination of hardware and software. In some embodiments, processor 920 may include one or more processors capable of being programmed to perform one or more operations or processes described elsewhere herein.

内存930可包括挥发性及/或非挥发性内存。举例而言,内存930可包括随机存取内存(random access memory,RAM)、只读存储器(read only memory,ROM)、硬盘(hard diskdrive)及/或其他类型的内存(例如是闪存、磁性内存及/或光学内存)。内存930可包括内部存储器(例如是RAM、ROM或硬盘)及/或可移除式内存(例如是通过通用串行汇流排(universal serial bus)连接而可被移除)。内存930可为非瞬时计算机可读取媒体(non-transitory computer-readable medium)。内存930储存关于组件900的操作的信息、指令及/或软件(例如是一或多个软件应用程序)。在一些实施例中,内存930可包括例如是经由汇流排910而耦合至一或多个处理器(例如是处理器920)的一或多个内存。Memory 930 may include volatile and/or non-volatile memory. For example, the memory 930 may include random access memory (RAM), read only memory (ROM), hard disk drive, and/or other types of memory (such as flash memory, magnetic memory). and/or optical memory). Memory 930 may include internal memory (eg, RAM, ROM, or hard disk) and/or removable memory (eg, removable via a universal serial bus connection). Memory 930 may be a non-transitory computer-readable medium. Memory 930 stores information, instructions and/or software (eg, one or more software applications) related to the operation of component 900 . In some embodiments, memory 930 may include one or more memories coupled to one or more processors (eg, processor 920 ) via bus 910 .

输入构件940使组件900接收输入讯号,例如是用户输入讯号及/或感测输入讯号。举例而言,输入构件940可包括触控屏幕、键盘、小键盘(keypad)、鼠标、按钮、麦克风、开关、传感器、全球定位系统(global positioning system)传感器、加速度传感器、陀螺仪及/或致动器。输出构件950使组件900提供输出讯号,例如是经由显示器、扬声器及/或发光二极管。通讯构件960使组件900能够通过有线及/或无线通信的方式而与其他组件进行通讯。举例而言,通讯构件960可包括接收器、发送器(transmitter)、收发器(transceiver)、调制解调器(modem)、网络适配器(network interface card)及/或天线。The input component 940 enables the component 900 to receive input signals, such as user input signals and/or sensing input signals. For example, the input component 940 may include a touch screen, a keyboard, a keypad, a mouse, a button, a microphone, a switch, a sensor, a global positioning system sensor, an acceleration sensor, a gyroscope, and/or a sensor. actuator. The output member 950 enables the component 900 to provide an output signal, such as via a display, a speaker, and/or a light emitting diode. The communication component 960 enables the component 900 to communicate with other components through wired and/or wireless communication. For example, communication component 960 may include a receiver, transmitter, transceiver, modem, network interface card, and/or antenna.

组件900可进行本文所描述的一或多个操作或工艺。举例而言,非瞬时计算机可读取媒体(例如是内存930)可储存由处理器920所执行的一组指令(例如是一或多个指令或编码)。处理器920可执行此组指令,以进行本文所描述的一或多个操作或工艺。在一些实施例中,通过一或多个处理器920执行此组指令,使一或多个处理器920及/或组件900进行本文所描述的一或多个操作或工艺。在一些实施例中,使用固线式电路(hardwired circuitry)或固线式电路与指令的组合来进行本文所描述的一或多个操作或工艺。额外地或作为替代地,处理器920可经配置以进行本文所描述的一或多个操作或工艺。因此,本文所描述的实施例并不限于固线式电路与软件的任何特定组合。Component 900 may perform one or more operations or processes described herein. For example, a non-transitory computer-readable medium (eg, memory 930 ) may store a set of instructions (eg, one or more instructions or code) executed by processor 920 . Processor 920 may execute this set of instructions to perform one or more operations or processes described herein. In some embodiments, execution of the set of instructions by one or more processors 920 causes one or more processors 920 and/or component 900 to perform one or more operations or processes described herein. In some embodiments, one or more operations or processes described herein are performed using hardwired circuitry or a combination of hardwired circuitry and instructions. Additionally or alternatively, processor 920 may be configured to perform one or more operations or processes described herein. Therefore, the embodiments described herein are not limited to any specific combination of hardwired circuitry and software.

图9所示的组件标号与配置方式提供为一个实例。组件900可包括额外的构件、较少的构件、不同的构件或以相异于图9所示的配置方式而配置的构件。额外地或作为替代地,组件900的一组构件(例如是一或多个构件)可进行经描述为通过组件900的其他组构件所执行的一或多个功能。The component labels and configuration methods shown in Figure 9 are provided as an example. Assembly 900 may include additional components, fewer components, different components, or components configured in a different manner than that shown in FIG. 9 . Additionally or alternatively, a set of components (eg, one or more components) of assembly 900 may perform one or more functions described as being performed by other sets of components of assembly 900 .

图10是绘示关联于形成半导体晶粒封装的范例工艺1000的流程图。在一些实施例中,图10的一或多个工艺方块是由一或多个半导体工艺设备(例如是半导体工艺设备102-114中的一或多者)所进行。额外地或作为替代地,可由组件900的一或多个构件(例如是处理器920、内存930、输入构件940、输出构件950及/或通讯构件960)进行图10所示的一或多个工艺方块。FIG. 10 is a flow diagram illustrating an example process 1000 associated with forming a semiconductor die package. In some embodiments, one or more process blocks of FIG. 10 are performed by one or more semiconductor process equipment (eg, one or more of semiconductor process equipment 102 - 114 ). Additionally or alternatively, one or more of the components shown in FIG. 10 may be performed by one or more components of component 900 (eg, processor 920, memory 930, input component 940, output component 950, and/or communication component 960). Crafting blocks.

如图10所进一步示出,工艺1000可包括在半导体晶粒上形成高介电常数介电层(操作1010)。举例而言,如本文所描述,半导体工艺设备102-114中的一或多者可用于在第二半导体晶粒204上形成高介电常数介电层242。在一些实施例中,高介电常数介电层242具有负电荷极性。在一些实施例中,第二半导体晶粒204与第一半导体晶粒202在接合界面206处彼此接合。As further shown in FIG. 10 , process 1000 may include forming a high-k dielectric layer on a semiconductor die (operation 1010 ). For example, as described herein, one or more of semiconductor process equipment 102 - 114 may be used to form high-k dielectric layer 242 on second semiconductor die 204 . In some embodiments, high-k dielectric layer 242 has negative charge polarity. In some embodiments, the second semiconductor die 204 and the first semiconductor die 202 are bonded to each other at a bonding interface 206 .

如图10所进一步示出,工艺1000可包括形成穿过高介电常数介电层与半导体晶粒的组件区并伸入半导体晶粒的内连线区的一部分以暴露出内连线区的一部分金属化层的凹陷(操作1020)。举例而言,如本文所述,半导体工艺设备102-114中的一或多者可用以形成穿过高介电常数介电层242与第二半导体晶粒204的组件区212且伸入第二半导体晶粒204的内连线区214以暴露出内连线区214中的一部分金属化层228的凹陷702。As further shown in FIG. 10 , process 1000 may include forming a portion of the interconnect region through the high-k dielectric layer and the component region of the semiconductor die and extending into the semiconductor die to expose the interconnect region. Recessing of a portion of the metallization layer (operation 1020). For example, as described herein, one or more of semiconductor process equipment 102 - 114 may be used to form device region 212 through high-k dielectric layer 242 and second semiconductor die 204 and extending into the second semiconductor die 204 . The interconnect region 214 of the semiconductor die 204 is recessed 702 to expose a portion of the metallization layer 228 in the interconnect region 214 .

如图10所进一步示出,工艺1000可包括在凹陷中形成导通孔结构(操作1030)。举例而言,如本文所述,半导体工艺设备102-114中的一或多者可用以在凹陷702中形成BTSV结构238。As further shown in FIG. 10 , process 1000 may include forming via structures in recesses (operation 1030 ). For example, as described herein, one or more of semiconductor process equipment 102 - 114 may be used to form BTSV structure 238 in recess 702 .

工艺1000可包括额外实施例,例如是如下所述的单一实施例或多个实施例的任一组合及/或与本文他处所描述的一或多个其他工艺相连结。Process 1000 may include additional embodiments, such as a single embodiment as described below or any combination of embodiments and/or in conjunction with one or more other processes described elsewhere herein.

在第一实施例中,形成BTSV结构238包括形成与第二半导体晶粒204的组件区212中的一或多个半导体组件216相邻的BTSV结构238。In the first embodiment, forming the BTSV structure 238 includes forming the BTSV structure 238 adjacent one or more semiconductor devices 216 in the device region 212 of the second semiconductor die 204 .

在第二实施例中,单独地或与第一实施例合并,形成BTSV结构238包括形成穿过与一或多个半导体组件216相关联的p型井302的BTSV结构238,其中p型井302相邻于与一或多个半导体组件216相关联的n型井304。In a second embodiment, separately or in combination with the first embodiment, forming BTSV structure 238 includes forming BTSV structure 238 through p-type well 302 associated with one or more semiconductor components 216 , wherein p-type well 302 Adjacent to n-type well 304 associated with one or more semiconductor components 216 .

在第三实施例中,单独地或与第一、第二实施例合并,形成高介电常数介电层242包括形成厚度在约至约/>的范围中的高介电常数介电层242。In the third embodiment, alone or in combination with the first and second embodiments, forming the high-k dielectric layer 242 includes forming a layer having a thickness of about Until about/> high-k dielectric layer 242 in the range.

在第四实施例中,单独地或与第一至第三实施例合并,形成高介电常数介电层242包括沉积具有本质负电荷极性的一或多种材料以形成高介电常数介电层242。In the fourth embodiment, alone or in combination with the first through third embodiments, forming the high-k dielectric layer 242 includes depositing one or more materials with intrinsically negative charge polarity to form the high-k dielectric layer 242 . Electrical layer 242.

在第五实施例中,单独地或与第一至第四实施例合并,所述一或多种材料包括氧化铪、氧化铝、氧化钽、氧化镓、氧化钛或氧化铌中的至少一者。In a fifth embodiment, alone or in combination with the first to fourth embodiments, the one or more materials include at least one of hafnium oxide, aluminum oxide, tantalum oxide, gallium oxide, titanium oxide, or niobium oxide .

在第六实施例中,单独地或与第一至第五实施例合并,所述一或多种材料在沉积过程中所产生的晶格缺陷导致本质负电荷极性。In a sixth embodiment, alone or in combination with the first to fifth embodiments, lattice defects created during deposition of the one or more materials result in intrinsically negative charge polarity.

在第七实施例中,单独地或与第一至第六实施例合并,制成1000包括在组件区212上形成缓冲氧化层240,其中形成高介电常数介电层242包括在缓冲氧化层240上形成高介电常数介电层242。In a seventh embodiment, alone or combined with the first to sixth embodiments, fabrication 1000 includes forming a buffer oxide layer 240 on the device region 212, wherein forming the high-k dielectric layer 242 includes forming the buffer oxide layer 240 on the device region 212. A high-k dielectric layer 242 is formed on 240 .

在第八实施例中,单独地或与第一至第七实施例合并,工艺1000包括通过进行混和接合操作,而将第一半导体晶粒202与第二半导体晶粒204以WoW配置方式而接合在一起。In an eighth embodiment, alone or in combination with the first to seventh embodiments, the process 1000 includes bonding the first semiconductor die 202 and the second semiconductor die 204 in a WoW configuration by performing a hybrid bonding operation. together.

尽管图10示出工艺1000的范例操作,在一些实施例中工艺1000包括额外的操作、较少的操作、不同的操作、或与图10所示的顺序不同的操作。额外地或作为替代地,工艺1000的两个或更多操作可平行地进行。Although FIG. 10 illustrates example operations of process 1000, in some embodiments process 1000 includes additional operations, fewer operations, different operations, or operations in a different order than that shown in FIG. 10. Additionally or alternatively, two or more operations of process 1000 may be performed in parallel.

综上所述,半导体晶粒封装(例如是WoW半导体晶粒封装)包括在第一半导体晶粒的组件区(例如是硅衬底)上的高介电常数介电层,且第一半导体晶粒以WoW配置方式接合于第二半导体晶粒。TSV结构(例如是BTSV结构)可经形成以穿过组件区。高介电常数介电层具有本质负电荷极性,而提供耦合电压以调整组件区内的电位。特别来说,高介电常数介电层内的负电荷(例如是电子载流子)吸引组件区内的电洞载流子,其抑制在刻蚀容纳TSV结构的凹陷时形成的表面缺陷所导致的陷阱辅助通道。因此,本文所描述的高介电常数介电层减少包括于第一半导体晶粒的组件区中的半导体组件内漏电流之发生机会及/或幅值。此可提升半导体组件的效能且/或使得半导体组件可更靠近地设置且能够放置在更靠近TSV结构的位置,而缩短第一半导体晶粒中半导体组件的节距且提高半导体组件密度等。In summary, a semiconductor die package (for example, a WoW semiconductor die package) includes a high-k dielectric layer on a component region of a first semiconductor die (for example, a silicon substrate), and the first semiconductor die The die is bonded to the second semiconductor die in a WoW configuration. TSV structures, such as BTSV structures, may be formed across the device area. The high-k dielectric layer has an intrinsically negative charge polarity and provides a coupling voltage to adjust the potential within the device region. In particular, negative charges (e.g., electron carriers) in the high-k dielectric layer attract hole carriers in the device region, which suppresses the effects of surface defects formed when etching the recesses housing the TSV structures. Leading trap auxiliary channel. Accordingly, the high-k dielectric layers described herein reduce the occurrence and/or magnitude of leakage currents within semiconductor devices included in the device regions of the first semiconductor die. This can improve the performance of the semiconductor devices and/or enable the semiconductor devices to be arranged closer together and placed closer to the TSV structure, shorten the pitch of the semiconductor devices in the first semiconductor die and increase the density of the semiconductor devices, etc.

如以上更详细地描述,本文的一些实施例提供一种半导体晶粒封装。半导体晶粒封装包括:第一半导体晶粒;第二半导体晶粒,在第一侧接合于所述第一半导体晶粒,且包括:组件区,包括一或多个半导体组件;以及内连线区,位于所述组件区与所述第一半导体晶粒之间。此外,半导体晶粒封装更包括:介电层,位于所述第二半导体晶粒的相对于所述第一侧的第二侧上,其中所述介电层具有本质负电荷极性;以及导通孔结构,延伸穿过所述介电层与所述组件区,且伸入所述内连线区的一部分。As described in greater detail above, some embodiments herein provide a semiconductor die package. A semiconductor die package includes: a first semiconductor die; a second semiconductor die bonded to the first semiconductor die on a first side and including: a component region including one or more semiconductor components; and interconnects A region is located between the component region and the first semiconductor die. In addition, the semiconductor die package further includes: a dielectric layer located on a second side of the second semiconductor die relative to the first side, wherein the dielectric layer has an intrinsic negative charge polarity; and a conductor A via structure extends through the dielectric layer and the component area, and extends into a portion of the interconnection area.

在一些实施例中,所述导通孔结构为延伸穿过所述组件区中的p型井但并未穿过所述组件区中的n型井的硅穿孔结构。在一些实施例中,所述硅穿孔结构的侧壁与所述p型井的边缘之间的距离在约0.2μm至约2μm的范围中。在一些实施例中,所述介电层经配置以使所述组件区中的电洞载流子被所述介电层中的电子载流子吸引。在一些实施例中,所述介电层的厚度在约至约/>的范围中。在一些实施例中,所述介电层包括氧化铪、氧化铝、氧化钽、氧化镓、氧化钛或氧化铌中的至少一者。在一些实施例中,半导体晶粒封装更包括:缓冲氧化层,位于所述第二半导体晶粒与所述介电层之间,其中所述导通孔结构延伸穿过所述缓冲氧化层。In some embodiments, the via structure is a silicon via structure extending through a p-type well in the component region but not through an n-type well in the component region. In some embodiments, the distance between the sidewalls of the silicon via structure and the edge of the p-type well is in the range of about 0.2 μm to about 2 μm. In some embodiments, the dielectric layer is configured such that hole carriers in the component region are attracted to electron carriers in the dielectric layer. In some embodiments, the dielectric layer has a thickness of about Until about/> within the range. In some embodiments, the dielectric layer includes at least one of hafnium oxide, aluminum oxide, tantalum oxide, gallium oxide, titanium oxide, or niobium oxide. In some embodiments, the semiconductor die package further includes: a buffer oxide layer located between the second semiconductor die and the dielectric layer, wherein the via hole structure extends through the buffer oxide layer.

如以上更详细地描述,本文的一些实施例提供一种半导体晶粒封装的形成方法。所述方法包括:在半导体晶粒上形成高介电常数介电层,其中所述高介电常数介电层具有负电荷极性;形成穿过所述高介电常数介电层与所述半导体晶粒的组件区并伸入所述半导体晶粒的内连线区的一部分而暴露出所述内连线区中的金属化层的一部分的凹陷;以及在所述凹陷中形成导通孔结构。As described in greater detail above, some embodiments herein provide a method of forming a semiconductor die package. The method includes: forming a high-k dielectric layer on a semiconductor die, wherein the high-k dielectric layer has a negative charge polarity; forming a layer through the high-k dielectric layer and the a recess in a component region of a semiconductor die and extending into a portion of an interconnect region of the semiconductor die to expose a portion of a metallization layer in the interconnect region; and forming a via hole in the recess structure.

在一些实施例中,形成所述导通孔结构包括:形成邻近于所述半导体晶粒的所述组件区中的一或多个半导体组件的背侧硅穿孔结构。在一些实施例中,形成所述背侧硅穿孔结构包括:形成穿过与所述一或多个半导体组件相关联的p型井的所述背侧硅穿孔结构,其中所述p型井邻近于与所述一或多个半导体组件相关联的n型井。在一些实施例中,形成所述高介电常数介电层包括:形成具有在约至约/>的范围中的厚度的所述高介电常数介电层。在一些实施例中,形成所述高介电常数介电层包括:沉积具有本质负电荷极性的一或多种材料,以形成所数高介电常数介电层。在一些实施例中,所述一或多种材料包括氧化铪、氧化铝、氧化钽、氧化镓、氧化钛或氧化铌中的至少一者。在一些实施例中,在沉积所述一或多种材料期间形成的晶格缺陷导致所述本质负电荷极性。在一些实施例中,半导体晶粒封装的形成方法更包括:在所述组件区上形成缓冲氧化层,其中形成所述高介电常数介电层包括:在所述缓冲氧化层上形成所述高介电常数介电层。在一些实施例中,半导体晶粒封装的形成方法更包括:进行混和接合操作以将所述半导体晶粒与另一半导体晶粒以晶片叠晶片型配置方式接合在一起。In some embodiments, forming the via structure includes forming a backside silicon via structure adjacent one or more semiconductor components in the component region of the semiconductor die. In some embodiments, forming the backside TSV structure includes forming the backside TSV structure through a p-type well associated with the one or more semiconductor components, wherein the p-type well is adjacent n-type well associated with the one or more semiconductor components. In some embodiments, forming the high-k dielectric layer includes forming a layer having a thickness of about Until about/> The thickness of the high-k dielectric layer is in the range. In some embodiments, forming the high-k dielectric layer includes depositing one or more materials with intrinsically negative charge polarity to form the high-k dielectric layer. In some embodiments, the one or more materials include at least one of hafnium oxide, aluminum oxide, tantalum oxide, gallium oxide, titanium oxide, or niobium oxide. In some embodiments, lattice defects formed during deposition of the one or more materials result in the intrinsic negative charge polarity. In some embodiments, the method for forming a semiconductor die package further includes: forming a buffer oxide layer on the component region, wherein forming the high-k dielectric layer includes: forming the buffer oxide layer on the buffer oxide layer. High dielectric constant dielectric layer. In some embodiments, the method of forming a semiconductor die package further includes performing a hybrid bonding operation to bond the semiconductor die with another semiconductor die in a die-on-wafer configuration.

如以上更详细地描述,本文的一些实施例提供一种半导体晶粒封装。所述半导体晶粒封装包括:第一半导体晶粒;第二半导体晶粒,以第一侧接合于所述第一半导体晶粒,且包括:组件区,包括一或多个半导体组件;以及内连线区,位于所述组件区与所述第一半导体晶粒之间。此外,半导体晶粒封装更包括:高介电常数介电层,在所述第二半导体晶粒的相对于所述第一侧的第二侧上,其中所述高介电常数介电层具有本质负电荷极性;以及硅穿孔结构,延伸穿过所述高介电常数介电层与所述组件区,且伸入所述内连线区的一部分,其中所述硅穿孔结构延伸穿过在所述组件区中邻近于n型井的p型井,且其中所述高介电常数介电层的所述本质负电荷极性经配置以抑制由所述p型井至所述n型井的漏电。As described in greater detail above, some embodiments herein provide a semiconductor die package. The semiconductor die package includes: a first semiconductor die; a second semiconductor die bonded to the first semiconductor die with a first side and including: a component region including one or more semiconductor components; and A connection area is located between the component area and the first semiconductor die. In addition, the semiconductor die package further includes: a high-k dielectric layer on a second side of the second semiconductor die relative to the first side, wherein the high-k dielectric layer has intrinsically negative charge polarity; and a silicon via structure extending through the high-k dielectric layer and the device region and into a portion of the interconnect region, wherein the silicon through silicon structure extends through a p-type well in the device region adjacent to the n-type well, and wherein the intrinsic negative charge polarity of the high-k dielectric layer is configured to inhibit transition from the p-type well to the n-type Well leakage.

在一些实施例中,所述第二半导体晶粒的厚度在约0.5μm至约5μm的范围中。在一些实施例中,所述高介电常数介电层的等效表面电荷密度在约-8·10-9C/cm2至约-1.6·10-7C/cm2的范围中。在一些实施例中,所述高介电常数介电层包括氧化铪、氧化铝、氧化钽、氧化镓、氧化钛或氧化铌中的至少一者。In some embodiments, the second semiconductor die has a thickness in the range of about 0.5 μm to about 5 μm. In some embodiments, the high-k dielectric layer has an equivalent surface charge density in the range of about -8·10 -9 C/cm 2 to about -1.6·10 -7 C/cm 2 . In some embodiments, the high-k dielectric layer includes at least one of hafnium oxide, aluminum oxide, tantalum oxide, gallium oxide, titanium oxide, or niobium oxide.

前述概述了若干实施例的特征,使得熟习此项技术者可更佳地理解本揭露的态样。熟习此项技术者应理解,他们可容易地使用本揭露作为设计或修改其他工艺及结构的基础来施行与本文中所介绍的实施例相同的目的及/或达成与本文中所介绍的实施例相同的优点。熟习此项技术者亦应认识到,此类等效构造并不背离本揭露的精神及范围,而且他们可在不背离本揭露的精神及范围的条件下对其作出各种改变、代替及变更。The foregoing summary summarizes the features of several embodiments so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same purposes as the embodiments described herein. Same advantages. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the disclosure, and they can make various changes, substitutions and alterations thereto without departing from the spirit and scope of the disclosure. .

Claims (12)

1.一种半导体晶粒封装,包括:1. A semiconductor chip package, including: 第一半导体晶粒;the first semiconductor die; 第二半导体晶粒,在第一侧接合于所述第一半导体晶粒,且包括:A second semiconductor die bonded to the first semiconductor die on a first side and comprising: 组件区,包括一或多个半导体组件;以及a component area, including one or more semiconductor components; and 内连线区,位于所述组件区与所述第一半导体晶粒之间;An interconnection area located between the component area and the first semiconductor die; 介电层,位于所述第二半导体晶粒的相对于所述第一侧的第二侧上,其中所述介电层具有本质负电荷极性;以及a dielectric layer on a second side of the second semiconductor die relative to the first side, wherein the dielectric layer has an intrinsically negative charge polarity; and 导通孔结构,延伸穿过所述介电层与所述组件区,且伸入所述内连线区的一部分。A via structure extends through the dielectric layer and the component area, and extends into a portion of the interconnect area. 2.根据权利要求1所述的半导体晶粒封装,其中所述导通孔结构为延伸穿过所述组件区中的p型井但并未穿过所述组件区中的n型井的硅穿孔结构。2. The semiconductor die package of claim 1, wherein the via structure is silicon extending through a p-type well in the component region but not through an n-type well in the component region Perforated structure. 3.根据权利要求2所述的半导体晶粒封装,其中所述硅穿孔结构的侧壁与所述p型井的边缘之间的距离在0.2微米至2微米的范围中。3. The semiconductor die package of claim 2, wherein a distance between a sidewall of the silicon via structure and an edge of the p-type well is in the range of 0.2 microns to 2 microns. 4.根据权利要求1所述的半导体晶粒封装,其中所述介电层经配置以使所述组件区中的电洞载流子被所述介电层中的电子载流子吸引。4. The semiconductor die package of claim 1, wherein the dielectric layer is configured such that hole carriers in the device region are attracted to electron carriers in the dielectric layer. 5.根据权利要求1所述的半导体晶粒封装,其中所述介电层的厚度在20埃至500埃的范围中。5. The semiconductor die package of claim 1, wherein the dielectric layer has a thickness in the range of 20 Angstroms to 500 Angstroms. 6.根据权利要求1所述的半导体晶粒封装,其中所述介电层包括氧化铪、氧化铝、氧化钽、氧化镓、氧化钛或氧化铌中的至少一者。6. The semiconductor die package of claim 1, wherein the dielectric layer includes at least one of hafnium oxide, aluminum oxide, tantalum oxide, gallium oxide, titanium oxide, or niobium oxide. 7.根据权利要求1所述的半导体晶粒封装,更包括:7. The semiconductor die package according to claim 1, further comprising: 缓冲氧化层,位于所述第二半导体晶粒与所述介电层之间,其中所述导通孔结构延伸穿过所述缓冲氧化层。A buffer oxide layer is located between the second semiconductor die and the dielectric layer, wherein the via hole structure extends through the buffer oxide layer. 8.一种半导体晶粒封装的形成方法,包括:8. A method for forming semiconductor chip packaging, including: 在半导体晶粒上形成高介电常数介电层,其中所述高介电常数介电层具有负电荷极性;forming a high-k dielectric layer on the semiconductor die, wherein the high-k dielectric layer has a negative charge polarity; 形成穿过所述高介电常数介电层与所述半导体晶粒的组件区并伸入所述半导体晶粒的内连线区的一部分而暴露出所述内连线区中的金属化层的一部分的凹陷;以及Forming a metallization layer through the high-k dielectric layer and a component region of the semiconductor die and extending into a portion of the interconnect region of the semiconductor die to expose the interconnect region a depression in a part of 在所述凹陷中形成导通孔结构。A via hole structure is formed in the recess. 9.根据权利要求8所述的半导体晶粒封装的形成方法,其中形成所述导通孔结构包括:9. The method of forming a semiconductor die package according to claim 8, wherein forming the via hole structure includes: 形成邻近于所述半导体晶粒的所述组件区中的一或多个半导体组件的背侧硅穿孔结构。A backside silicon via structure is formed adjacent one or more semiconductor devices in the device region of the semiconductor die. 10.根据权利要求9所述的半导体晶粒封装的形成方法,其中形成所述背侧硅穿孔结构包括:10. The method of forming a semiconductor die package according to claim 9, wherein forming the backside silicon via structure includes: 形成穿过与所述一或多个半导体组件相关联的p型井的所述背侧硅穿孔结构,其中所述p型井邻近于与所述一或多个半导体组件相关联的n型井。The backside TSV structure is formed through a p-type well associated with the one or more semiconductor components, wherein the p-type well is adjacent an n-type well associated with the one or more semiconductor components . 11.根据权利要求8所述的半导体晶粒封装的形成方法,更包括:11. The method of forming a semiconductor die package according to claim 8, further comprising: 进行混和接合操作以将所述半导体晶粒与另一半导体晶粒以晶片叠晶片型配置方式接合在一起。A hybrid bonding operation is performed to bond the semiconductor die together with another semiconductor die in a wafer-on-wafer type configuration. 12.一种半导体晶粒封装,包括:12. A semiconductor chip package, including: 第一半导体晶粒;The first semiconductor die; 第二半导体晶粒,以第一侧接合于所述第一半导体晶粒,且包括:The second semiconductor die is bonded to the first semiconductor die with a first side and includes: 组件区,包括一或多个半导体组件;以及a component area, including one or more semiconductor components; and 内连线区,位于所述组件区与所述第一半导体晶粒之间;An interconnection area located between the component area and the first semiconductor die; 高介电常数介电层,在所述第二半导体晶粒的相对于所述第一侧的第二侧上,其中所述高介电常数介电层具有本质负电荷极性;以及a high-k dielectric layer on a second side of the second semiconductor die relative to the first side, wherein the high-k dielectric layer has an intrinsically negative charge polarity; and 硅穿孔结构,延伸穿过所述高介电常数介电层与所述组件区,且伸入所述内连线区的一部分,其中所述硅穿孔结构延伸穿过在所述组件区中邻近于n型井的p型井,且其中所述高介电常数介电层的所述本质负电荷极性经配置以抑制由所述p型井至所述n型井的漏电。A through-silicon via structure extending through the high-k dielectric layer and the device region and extending into a portion of the interconnect region, wherein the through-silicon via structure extends through an adjacent region in the device region A p-type well in an n-type well, and wherein the intrinsic negative charge polarity of the high-k dielectric layer is configured to inhibit leakage from the p-type well to the n-type well.
CN202311253960.4A 2022-09-28 2023-09-26 Semiconductor die package and method of forming the same Pending CN117410278A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/377,418 2022-09-28
US18/151,084 2023-01-06
US18/151,084 US20240105644A1 (en) 2022-09-28 2023-01-06 Semiconductor die package and methods of formation

Publications (1)

Publication Number Publication Date
CN117410278A true CN117410278A (en) 2024-01-16

Family

ID=89499139

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311253960.4A Pending CN117410278A (en) 2022-09-28 2023-09-26 Semiconductor die package and method of forming the same

Country Status (1)

Country Link
CN (1) CN117410278A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN118866718A (en) * 2024-07-15 2024-10-29 深圳瑞纳电子技术发展有限公司 Wafer-level chip manufacturing method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN118866718A (en) * 2024-07-15 2024-10-29 深圳瑞纳电子技术发展有限公司 Wafer-level chip manufacturing method
CN118866718B (en) * 2024-07-15 2025-05-13 深圳瑞纳电子技术发展有限公司 Wafer-level chip manufacturing method

Similar Documents

Publication Publication Date Title
US11791332B2 (en) Stacked semiconductor device and method
TWI788725B (en) Semiconductor element with shielging structure
CN117410278A (en) Semiconductor die package and method of forming the same
US20250015000A1 (en) Semiconductor die edge protection for semiconductor device assemblies and associated systems and methods
TWI873536B (en) High bandwidth package structure and method of forming the same
US20230386957A1 (en) Stacked semiconductor device including a cooling structure
TWI856542B (en) Semiconductor die package and method of formation
US20240153895A1 (en) Semiconductor die packages and methods of formation
US12317517B2 (en) Semiconductor die package and methods of formation
US20240258302A1 (en) Semiconductor die package and methods of formation
TWI864794B (en) Semiconductor die package
US20250063743A1 (en) In-trench capacitor merged structure
TWI856657B (en) Semiconductor device and manufacturing method thereof
US20240145435A1 (en) Semiconductor device including multi-dimension through silicon via structures for backside alignment and thermal dissipation
US20240030222A1 (en) Trapping layer for a radio frequency die and methods of formation
US11482474B2 (en) Forming a self-aligned TSV with narrow opening in horizontal isolation layer interfacing substrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination