CN116741777A - Integrated circuit including active pattern having variable width and design method thereof - Google Patents
Integrated circuit including active pattern having variable width and design method thereof Download PDFInfo
- Publication number
- CN116741777A CN116741777A CN202310190812.6A CN202310190812A CN116741777A CN 116741777 A CN116741777 A CN 116741777A CN 202310190812 A CN202310190812 A CN 202310190812A CN 116741777 A CN116741777 A CN 116741777A
- Authority
- CN
- China
- Prior art keywords
- active patterns
- integrated circuit
- active
- width
- pattern
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/82—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
- H10D84/83—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
- H10D84/85—Complementary IGFETs, e.g. CMOS
- H10D84/853—Complementary IGFETs, e.g. CMOS comprising FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D89/00—Aspects of integrated devices not covered by groups H10D84/00 - H10D88/00
- H10D89/10—Integrated device layouts
Landscapes
- Semiconductor Integrated Circuits (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
一种集成电路可以包括:第一有源图案组,在第一行中沿第一方向延伸并且包括在第一方向上彼此重叠的多个有源图案,第一行沿第一方向延伸;以及多个栅电极,在第一行中沿垂直于第一方向的第二方向延伸,其中,第一有源图案组中的在第一方向上彼此相邻的两个有源图案可以在第二方向上具有相同的宽度,或在第二方向上具有相差第一偏移量或第二偏移量的宽度。
An integrated circuit may include: a first active pattern group extending along a first direction in a first row and including a plurality of active patterns overlapping each other in the first direction, the first row extending along the first direction; and A plurality of gate electrodes extending in a first row along a second direction perpendicular to the first direction, wherein two active patterns in the first active pattern group adjacent to each other in the first direction may be in a second row. have the same width in two directions, or have a width that differs by a first offset or a second offset in a second direction.
Description
相关申请的交叉引用Cross-references to related applications
本申请基于并要求于2022年3月10日在韩国知识产权局提交的韩国专利申请No.10-2022-0030331和于2022年6月22日在韩国知识产权局提交的韩国专利申请No.10-2022-0076380的优先权,其全部公开内容通过引用并入本文。This application is based on and claims Korean Patent Application No. 10-2022-0030331 filed with the Korean Intellectual Property Office on March 10, 2022, and Korean Patent Application No. 10 filed with the Korean Intellectual Property Office on June 22, 2022 -2022-0076380, the entire disclosure of which is incorporated herein by reference.
技术领域Technical field
本发明构思涉及集成电路,并且更具体地,涉及包括具有可变宽度的有源图案的集成电路及其设计方法。The inventive concept relates to integrated circuits, and more particularly, to integrated circuits including active patterns with variable widths and design methods thereof.
背景技术Background technique
已经开发了具有各种结构的器件,并且这些器件可以分别具有独特的特性。可以通过新工艺(例如,子工艺)形成新器件,并且相应地,新设计规则可能有益于设计包括新器件的集成电路。Devices with various structures have been developed, and these devices can each have unique properties. New devices may be formed through new processes (eg, sub-processes), and accordingly, new design rules may be beneficial in designing integrated circuits including the new devices.
发明内容Contents of the invention
本发明构思提供一种包括具有可变宽度的有源图案的集成电路及其设计方法。The inventive concept provides an integrated circuit including an active pattern with variable width and a design method thereof.
根据本发明构思的一个方面,提供了一种集成电路,该集成电路包括:第一有源图案组,在第一行中沿第一方向延伸并且包括在第一方向上彼此重叠的多个有源图案,第一行沿第一方向延伸;以及多个栅电极,在第一行中沿垂直于第一方向的第二方向延伸,其中,第一有源图案组中的在第一方向上彼此相邻的两个有源图案可以在第二方向上具有相同的宽度,或在第二方向上具有相差第一偏移量或第二偏移量的宽度。According to an aspect of the inventive concept, an integrated circuit is provided, the integrated circuit including: a first active pattern group extending along a first direction in a first row and including a plurality of active patterns overlapping each other in the first direction. source patterns, a first row extending in a first direction; and a plurality of gate electrodes in the first row extending in a second direction perpendicular to the first direction, wherein the first active pattern group in the first direction Two active patterns adjacent to each other may have the same width in the second direction, or have widths that differ by the first offset amount or the second offset amount in the second direction.
根据本发明构思的一个方面,提供了一种包括布置在沿第一方向延伸的第一行中的多个功能单元的集成电路,其中,布置在第一行中的多个功能单元中的每个功能单元可以包括沿第一方向延伸的有源图案以及沿垂直于第一方向的第二方向延伸的至少一个栅电极,并且在第一行中彼此相邻且在第一方向上彼此重叠的两个功能单元中分别包括的两个有源图案可以在第二方向上具有相同的宽度,或在第二方向上具有相差第一偏移量或第二偏移量的宽度。According to an aspect of the inventive concept, there is provided an integrated circuit including a plurality of functional units arranged in a first row extending along a first direction, wherein each of the plurality of functional units arranged in the first row The functional units may include an active pattern extending in a first direction and at least one gate electrode extending in a second direction perpendicular to the first direction, and be adjacent to each other in the first row and overlap each other in the first direction. The two active patterns respectively included in the two functional units may have the same width in the second direction, or have widths that differ by the first offset or the second offset in the second direction.
根据本发明构思的一个方面,提供了一种集成电路,包括:多个单元;第一图案和第二图案,沿第一方向延伸并且彼此相邻以向多个单元中的第一单元供电;多个第一有源图案,在第一图案和第二图案之间沿第一方向延伸并且在第一方向上彼此重叠;以及多个第一栅电极,在第一图案和第二图案之间沿垂直于第一方向的第二方向延伸,其中,多个第一有源图案中的在第一方向上彼此相邻的两个第一有源图案可以在第二方向上具有相同的宽度或在第二方向上具有相差第一偏移量或第二偏移量的宽度。According to an aspect of the inventive concept, an integrated circuit is provided, including: a plurality of units; a first pattern and a second pattern extending along a first direction and adjacent to each other to power a first unit among the plurality of units; a plurality of first active patterns extending in a first direction between the first pattern and the second pattern and overlapping each other in the first direction; and a plurality of first gate electrodes between the first pattern and the second pattern extending along a second direction perpendicular to the first direction, wherein two first active patterns among the plurality of first active patterns that are adjacent to each other in the first direction may have the same width in the second direction or There is a width different from the first offset amount or the second offset amount in the second direction.
附图说明Description of drawings
根据以下结合附图进行的详细描述,将更清楚地理解本发明构思的实施例,在附图中:Embodiments of the inventive concept will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings, in which:
图1A和图1B是根据示例实施例的器件的透视图;1A and 1B are perspective views of devices according to example embodiments;
图2A和图2B是示出了根据示例实施例的集成电路的布局的平面图;2A and 2B are plan views illustrating the layout of an integrated circuit according to example embodiments;
图3A和图3B是示出了根据示例实施例的集成电路的布局的平面图;3A and 3B are plan views illustrating the layout of an integrated circuit according to example embodiments;
图4是示出了根据示例实施例的单元的布局的平面图;4 is a plan view showing the layout of a unit according to an example embodiment;
图5是示出了根据示例实施例的集成电路的布局的平面图;5 is a plan view illustrating the layout of an integrated circuit according to an example embodiment;
图6A、图6B、图6C、图6D、图6E和图6F是示出了根据示例实施例的集成电路的布局的平面图;6A, 6B, 6C, 6D, 6E, and 6F are plan views illustrating the layout of an integrated circuit according to example embodiments;
图7是示出了根据示例实施例的制造集成电路的方法的流程图;7 is a flowchart illustrating a method of manufacturing an integrated circuit according to example embodiments;
图8是示出了根据示例实施例的片上系统的框图;以及8 is a block diagram illustrating a system-on-chip according to an example embodiment; and
图9是示出了根据示例实施例的包括用于存储程序的存储器的计算系统的框图。9 is a block diagram illustrating a computing system including memory for storing programs, according to an example embodiment.
具体实施方式Detailed ways
图1A和图1B是根据示例实施例的器件的透视图。例如,图1A示出了鳍型场效应晶体管(FinFET)10a,并且图1B示出了环栅场效应晶体管(GAAFET)10b。为了便于说明,图1A和图1B示出了两个源/漏区之一已经被去除的状态。1A and 1B are perspective views of devices according to example embodiments. For example, Figure IA shows a fin field effect transistor (FinFET) 10a, and Figure IB shows a gate all around field effect transistor (GAAFET) 10b. For convenience of explanation, FIGS. 1A and 1B show a state in which one of the two source/drain regions has been removed.
在本文中,X轴方向和Y轴方向可以分别被称为第一方向(也被称为第一水平方向)和第二方向(也被称为第二水平方向),并且Z轴方向可以被称为竖直方向或第三方向。具有X轴和Y轴的平面可以被称为水平面,相对于其他组件沿+Z方向布置的组件可以被称为在其他组件上方的组件,并且相对于其他组件沿-Z方向布置的组件可以被称为在其他组件下方的组件。另外,组件的面积可以指组件在平行于水平面的平面中所占据的大小,并且组件的宽度可以指与组件延伸(例如,纵向延伸)的方向垂直的方向上的长度。在+Z方向上暴露的表面可以被称为顶表面或上表面,在-Z方向上暴露的表面可以被称为底表面或下表面,并且在±X方向或±Y方向上暴露的表面可以被称为侧表面。在附图中,为了便于说明,可能仅示出了一些层,并且为了指示上图案和下图案之间的连接,尽管过孔位于上图案下方,但可以显示过孔。另外,由导电材料制成的图案(例如,布线层的图案)可以被称为导电图案或可以被简称为图案。Herein, the X-axis direction and the Y-axis direction may be referred to as a first direction (also referred to as a first horizontal direction) and a second direction (also referred to as a second horizontal direction), respectively, and the Z-axis direction may be referred to as It is called the vertical direction or the third direction. A plane having an X-axis and a Y-axis may be referred to as a horizontal plane, a component arranged in the +Z direction relative to other components may be referred to as a component above other components, and a component arranged in the -Z direction relative to other components may be Called a component that is underneath other components. Additionally, the area of a component may refer to the size occupied by the component in a plane parallel to the horizontal plane, and the width of the component may refer to the length in a direction perpendicular to the direction in which the component extends (eg, extends longitudinally). The surface exposed in the +Z direction may be referred to as the top surface or upper surface, the surface exposed in the −Z direction may be referred to as the bottom surface or lower surface, and the surface exposed in the ±X direction or ±Y direction may be are called lateral surfaces. In the drawings, for convenience of explanation, only some layers may be shown, and to indicate a connection between an upper pattern and a lower pattern, a via hole may be shown although the via hole is located below the upper pattern. In addition, a pattern made of a conductive material (for example, a pattern of a wiring layer) may be called a conductive pattern or may be simply called a pattern.
集成电路可以通过半导体工艺制造并且可以包括多个器件。例如,集成电路可以包括诸如晶体管的有源器件和/或诸如电容器的无源器件。半导体工艺可以包括用于形成具有预定义结构的晶体管的一系列子工艺。例如,FinFET 10a和GAAFET 10b可以通过半导体工艺形成。在一些实施例中,半导体工艺可以包括用于形成具有与FinFET 10a和GAAFET10b的结构不同的结构的晶体管的子工艺。例如,用于P型晶体管的纳米片和用于N型晶体管的纳米片可以由介电壁隔开,从而通过半导体工艺形成具有彼此相邻的N型晶体管和P型晶体管的结构的ForkFET。此外,双极结型晶体管以及场效应晶体管(FET)(例如,互补型FET(CFET)、负型FET(NCFET)、碳纳米管(CNT)FET等)可以通过半导体工艺形成。Integrated circuits may be manufactured through semiconductor processes and may include multiple devices. For example, an integrated circuit may include active devices such as transistors and/or passive devices such as capacitors. A semiconductor process may include a series of sub-processes for forming transistors with predefined structures. For example, FinFET 10a and GAAFET 10b may be formed through a semiconductor process. In some embodiments, the semiconductor process may include a sub-process for forming transistors having a different structure than that of FinFET 10a and GAAFET 10b. For example, a nanosheet for a P-type transistor and a nanosheet for an N-type transistor may be separated by a dielectric wall, thereby forming a ForkFET having a structure of N-type transistors and P-type transistors adjacent to each other through a semiconductor process. In addition, bipolar junction transistors and field effect transistors (FETs) (eg, complementary FETs (CFETs), negative FETs (NCFETs), carbon nanotube (CNT) FETs, etc.) can be formed through semiconductor processes.
参考图1A,FinFET 10a可以由第一有源图案A1至第三有源图案A3和沿Y轴方向延伸的栅电极G形成,其中第一有源图案A1至第三有源图案A3具有在浅沟槽隔离部(STI)之间沿X轴方向延伸的鳍形状。可以在栅电极G的任一侧形成源/漏区SD,并且可以在源/漏区SD之间形成分别对应于第一有源图案A1至第三有源图案A3的第一沟道CH1至第三沟道CH3。第一沟道CH1至第三沟道CH3可以在Y轴和Z轴方向上与栅电极G重叠,并且可以在栅电极G与第一沟道CH1至第三沟道CH3中的每个沟道之间形成绝缘层。在一些实施例中,与图1A中所示的不同,源/漏区SD可以由分别对应于第一有源图案A1至第三有源图案A3的三个部分构成。如本文中所使用的,“元件A沿X方向延伸”(或类似的语言)可以意味着元件A沿X方向纵向延伸。此外,如本文中所使用的,“元件A在X方向上与元件B重叠”(或类似的语言)意味着存在沿X方向延伸并且与元件A和B两者相交的至少一条线。Referring to FIG. 1A , the FinFET 10 a may be formed of first to third active patterns A1 to A3 having a shallow thickness and a gate electrode G extending in the Y-axis direction. A fin shape extending in the X-axis direction between trench isolation portions (STI). Source/drain regions SD may be formed on either side of the gate electrode G, and first channels CH1 to CH1 to third active patterns A3 respectively corresponding to the source/drain regions SD may be formed between the source/drain regions SD. The third channel CH3. The first to third channels CH1 to CH3 may overlap the gate electrode G in the Y-axis and Z-axis directions, and may overlap the gate electrode G with each of the first to third channels CH1 to CH3 An insulating layer is formed between them. In some embodiments, unlike what is shown in FIG. 1A , the source/drain region SD may be composed of three parts corresponding to the first to third active patterns A1 to A3 respectively. As used herein, "element A extends in the X direction" (or similar language) may mean that element A extends longitudinally in the X direction. Furthermore, as used herein, "element A overlaps element B in the X direction" (or similar language) means that there is at least one line that extends in the X direction and intersects both elements A and B.
FinFET 10a的有效沟道宽度可以取决于有源图案的数量,因此,FinFET 10a可以具有与有源图案的数量相对应的电流驱动能力。例如,包括一个或两个沟道的FinFET可以具有比图1A的FinFET 10a低的电流驱动能力和功耗。此外,包括多于三个沟道的FinFET可以具有比图1A的FinFET 10a高的电流驱动能力和功耗。集成电路可以包括FinFET,FinFET包括各种数量的沟道以优化性能和效率。The effective channel width of the FinFET 10a may depend on the number of active patterns, and therefore, the FinFET 10a may have a current driving capability corresponding to the number of active patterns. For example, a FinFET including one or two channels may have lower current drive capabilities and power consumption than FinFET 10a of Figure 1A. Additionally, FinFETs including more than three channels may have higher current drive capabilities and power consumption than FinFET 10a of FIG. 1A. Integrated circuits may include FinFETs that include various numbers of channels to optimize performance and efficiency.
参考图1B,GAAFET 10b可以由沿X轴方向延伸的有源图案A1和沿Y轴方向延伸的栅电极G形成。可以在栅电极G的任一侧形成源/漏区SD,并且在Z轴方向上彼此间隔开且沿X轴方向延伸、同时具有第一宽度W1的第一纳米片NS1至第三纳米片NS3可以在源/漏区SD之间形成沟道。如图1B中所示,包括纳米片的GAAFET 10b可以被称为多桥沟道场效应晶体管(MBCFET)。第一纳米片至第三纳米片可以在Y轴和Z轴方向上与栅电极G重叠,并且可以在栅电极G与第一纳米片至第三纳米片中的每个纳米片之间形成绝缘层。Referring to FIG. 1B , the GAAFET 10b may be formed of an active pattern A1 extending in the X-axis direction and a gate electrode G extending in the Y-axis direction. The source/drain regions SD may be formed on either side of the gate electrode G, and have first to third nanosheets NS1 to NS3 spaced apart from each other in the Z-axis direction and extending in the X-axis direction while having a first width W1 A channel may be formed between the source/drain regions SD. As shown in Figure 1B, GAAFET 10b including nanosheets may be referred to as a multi-bridge channel field effect transistor (MBCFET). The first to third nanosheets may overlap the gate electrode G in the Y-axis and Z-axis directions, and an insulation may be formed between the gate electrode G and each of the first to third nanosheets. layer.
GAAFET 10b的有效沟道宽度可以取决于纳米片的数量和宽度,因此,GAAFET 10b可以具有与纳米片的数量和宽度相对应的电流驱动能力。例如,包括一个或两个纳米片或包括宽度小于第一宽度W1的纳米片的GAAFET可以具有比图1B的GAAFET 10b低的电流驱动能力和功耗。此外,包括多于三个纳米片或包括宽度大于第一宽度W1的纳米片的GAAFET可以具有比图1B的GAAFET 10b高的电流驱动能力和功耗。集成电路可以包括GAAFET,GAAFET包括各种数量和宽度的纳米片以优化性能和效率。The effective channel width of GAAFET 10b may depend on the number and width of nanosheets, and therefore, GAAFET 10b may have a current driving capability corresponding to the number and width of nanosheets. For example, a GAAFET including one or two nanosheets or a nanosheet having a width smaller than the first width W1 may have lower current driving capability and power consumption than the GAAFET 10b of FIG. 1B. Furthermore, a GAAFET including more than three nanosheets or including nanosheets with a width greater than the first width W1 may have higher current driving capability and power consumption than the GAAFET 10b of FIG. 1B. Integrated circuits can include GAAFETs, which include various numbers and widths of nanosheets to optimize performance and efficiency.
有源图案的转变可以指器件中的彼此相邻的有源图案的数量和/或宽度的变化。FinFET 10a可以通过调整沟道的数量(或鳍的数量)来实现有源图案的转变,而GAAFET 10b可以通过调整纳米片的第一宽度W1来实现有源图案的转变,因此,相比于FinFET 10a,GAAFET10b可以支持具有更多各种特性的器件。A transition of active patterns may refer to a change in the number and/or width of active patterns adjacent to each other in the device. FinFET 10a can realize the transformation of the active pattern by adjusting the number of channels (or the number of fins), while GAAFET 10b can realize the transformation of the active pattern by adjusting the first width W1 of the nanosheet. Therefore, compared with FinFET 10a, GAAFET10b can support devices with more various features.
随着器件尺寸的减小以实现高集成度,半导体工艺的难度可能增加并且有源图案的转变可能受到半导体工艺的限制。例如,当发生有源图案的大的转变时,例如当设计在集成电路中纳米片的宽度大大减小或大大增加的结构时,半导体工艺可能不容易实现所设计的结构。因此,当有源图案的转变较大时,集成电路的良品率可能降低,或者集成电路的面积可能由于用于有源图案的转变的空间(例如,扩散中断)而增加。As device size decreases to achieve high integration levels, the difficulty of the semiconductor process may increase and the transformation of active patterns may be limited by the semiconductor process. For example, when large transitions in active patterns occur, such as when designing structures in which the width of the nanosheets in an integrated circuit is greatly reduced or greatly increased, the semiconductor process may not readily implement the designed structure. Therefore, when the transition of the active pattern is large, the yield of the integrated circuit may be reduced, or the area of the integrated circuit may be increased due to the space for the transition of the active pattern (eg, diffusion interruption).
如下面参考附图所述,可以考虑半导体工艺来设计集成电路,从而减少设计集成电路所需的时间和成本并提高集成电路的良品率。此外,集成电路可以具有高可靠性,并且相应地,可以提高包括集成电路的应用的可靠性。此外,可以容易地设计具有高可靠性的集成电路,从而显著缩短集成电路的上市时间。在下文中,GAAFET(即,MBCFET)将被主要描述为器件的示例,但注意,本发明构思的实施例不限于此。此外,尽管将主要描述通过改变纳米片的宽度来产生有源图案的转变,但注意,有源图案的转变可以通过改变纳米片的数量而发生,如上所述。As described below with reference to the accompanying drawings, integrated circuits can be designed taking into account semiconductor processes, thereby reducing the time and cost required to design integrated circuits and improving the yield rate of integrated circuits. Furthermore, the integrated circuit can have high reliability, and accordingly, the reliability of applications including the integrated circuit can be improved. In addition, integrated circuits with high reliability can be easily designed, thereby significantly shortening the time to market of integrated circuits. Hereinafter, GAAFET (ie, MBCFET) will be mainly described as an example of the device, but note that embodiments of the inventive concept are not limited thereto. Furthermore, although the transformation of the active pattern by changing the width of the nanosheets will mainly be described, note that the transformation of the active pattern can occur by changing the number of nanosheets, as described above.
图2A和图2B是示出了根据示例实施例的集成电路的布局的平面图。在下文中,将省略图2A和图2B的冗余描述。2A and 2B are plan views illustrating the layout of an integrated circuit according to example embodiments. Hereinafter, redundant descriptions of FIGS. 2A and 2B will be omitted.
参考图2A,集成电路可以包括多个标准单元。标准单元是集成电路中包括的布局单位,并且可以被简称为单元。该单元可以包括晶体管并且可以被设计为执行预定义的功能。在集成电路中,可以沿行对齐和布置单元。例如,在图2A中,第一行R1和第二行R2可以沿X轴方向延伸,并且单元可以布置在第一行R1和/或第二行R2中。布置在一行中的单元可以被称为单高度单元,并且布置在两个或更多个连续行中的单元可以被称为多高度单元。布置在第一行R1中的单高度单元可以在Y轴方向上具有第一高度H1,布置在第二行R2中的单高度单元可以在Y轴方向上具有第二高度H2,以及连续布置在第一行R1和第二行R2中的多高度单元可以在Y轴方向上具有与高度H1和H2之和相对应的高度。Referring to Figure 2A, an integrated circuit may include a plurality of standard cells. A standard cell is a layout unit included in an integrated circuit, and may be simply called a cell. The unit may include transistors and may be designed to perform predefined functions. In integrated circuits, cells can be aligned and arranged along rows. For example, in FIG. 2A , the first row R1 and the second row R2 may extend in the X-axis direction, and the cells may be arranged in the first row R1 and/or the second row R2. Units arranged in one row may be referred to as single-height units, and units arranged in two or more consecutive rows may be referred to as multi-height units. The single-height units arranged in the first row R1 may have a first height H1 in the Y-axis direction, the single-height units arranged in the second row R2 may have a second height H2 in the Y-axis direction, and the single-height units arranged continuously in The multi-height cells in the first row R1 and the second row R2 may have a height corresponding to the sum of the heights H1 and H2 in the Y-axis direction.
在一些实施例中,第一行R1的第一高度H1与第二行R2的第二高度H2可以彼此相同或不同。例如,如图2A中所示,第二高度H2可以大于第一高度H1(H2>H1)。可以以各种方式布置具有不同高度的行。例如,具有第一高度H1的行和具有第二高度H2的行可以按1∶1、2∶2、4∶4等的比例交替地布置。In some embodiments, the first height H1 of the first row R1 and the second height H2 of the second row R2 may be the same as or different from each other. For example, as shown in FIG. 2A, the second height H2 may be greater than the first height H1 (H2>H1). Rows with different heights can be arranged in various ways. For example, the rows with the first height H1 and the rows with the second height H2 may be alternately arranged in a ratio of 1:1, 2:2, 4:4, etc.
可以在行的边界上布置用于向单元供电的图案。例如,如图2A中所示,第一金属图案M21至第三金属图案M23可以在第一行R1和第二行R2的边界上沿X轴方向延伸。可以向第一金属图案M21和第三金属图案M23施加负电源电压VSS,并且可以与第一金属图案M21和第三金属图案M23相邻地布置n沟道场效应晶体管(NFET)。此外,可以向第二金属图案M22施加正电源电压VDD,并且可以与第二金属图案M22相邻地布置p沟道场效应晶体管(PFET)。Patterns for supplying power to the cells can be arranged on the boundaries of the rows. For example, as shown in FIG. 2A , the first to third metal patterns M21 to M23 may extend in the X-axis direction on the boundary of the first row R1 and the second row R2. The negative power supply voltage VSS may be applied to the first and third metal patterns M21 and M23, and an n-channel field effect transistor (NFET) may be arranged adjacent to the first and third metal patterns M21 and M23. In addition, the positive power supply voltage VDD may be applied to the second metal pattern M22, and a p-channel field effect transistor (PFET) may be arranged adjacent to the second metal pattern M22.
集成电路可以包括沿X轴方向延伸的有源图案,并且单元可以包括由有源图案形成的晶体管。例如,如图2A中所示,集成电路20a可以在第一行R1中包括在X轴方向上彼此重叠的多个第一有源图案A11至A13以及在X轴方向上彼此重叠的多个第二有源图案A21至A23。此外,集成电路20a可以在第二行R2中包括在X轴方向上彼此重叠的多个第三有源图案A31至A33以及在X轴方向上彼此重叠的多个第四有源图案A41至A43。如图2A中所示,与被施加负电源电压VSS的第一金属图案M21和第三金属图案M23相邻的多个第一有源图案A11至A13和多个第四有源图案A41至A43可以形成n沟道场效应晶体管(NFET),而与被施加正电源电压VDD的第二金属图案M22相邻的多个第二有源图案A21至A23和多个第二有源图案A31至A33可以形成p沟道场效应晶体管(PFET)。The integrated circuit may include an active pattern extending in the X-axis direction, and the unit may include a transistor formed of the active pattern. For example, as shown in FIG. 2A , the integrated circuit 20a may include a plurality of first active patterns A11 to A13 overlapping each other in the X-axis direction and a plurality of first active patterns A11 to A13 overlapping each other in the X-axis direction in the first row R1. Two active patterns A21 to A23. In addition, the integrated circuit 20a may include a plurality of third active patterns A31 to A33 overlapping each other in the X-axis direction and a plurality of fourth active patterns A41 to A43 overlapping each other in the X-axis direction in the second row R2 . As shown in FIG. 2A , a plurality of first active patterns A11 to A13 and a plurality of fourth active patterns A41 to A43 adjacent to the first and third metal patterns M21 and M23 to which the negative power supply voltage VSS is applied An n-channel field effect transistor (NFET) may be formed, and the plurality of second active patterns A21 to A23 and the plurality of second active patterns A31 to A33 adjacent to the second metal pattern M22 to which the positive power supply voltage VDD is applied may A p-channel field effect transistor (PFET) is formed.
在一些实施例中,有源图案的转变可以被限制为预定义的大小。例如,如图2A中所示,第一行R1中的多个第一有源图案A11至A13中的有源图案的转变可以被限制为第一偏移量OS1。因此,彼此相邻的第一有源图案A11和A12的宽度之间的差可以对应于第一偏移量OS1,并且彼此相邻的第一有源图案A12和A13的宽度之间的差也可以对应于第一偏移量OS1。此外,第一行R1中的多个第二有源图案A21至A23中的有源图案的转变可以被限制为第二偏移量OS2。在一些实施例中,第一偏移量OS1和第二偏移量OS2可以相同。类似地,第二行R2中的多个第三有源图案A31至A33中的有源图案的转变可以被限制为第三偏移量OS3,并且第二行R2中的多个第四有源图案A41至A43中的有源图案的转变可以被限制为第四偏移量OS4。在一些实施例中,第三偏移量OS3和第四偏移量OS4可以相同。第一偏移量OS1至第四偏移量OS4可以由用于制造集成电路20a的半导体工艺定义,因此,可以消除由集成电路20a中的有源图案的过度转变引起的误差。In some embodiments, the transition of active patterns may be limited to a predefined size. For example, as shown in FIG. 2A , the transition of the active patterns among the plurality of first active patterns A11 to A13 in the first row R1 may be limited to the first offset OS1. Therefore, the difference between the widths of the first active patterns A11 and A12 adjacent to each other may correspond to the first offset amount OS1, and the difference between the widths of the first active patterns A12 and A13 adjacent to each other also May correspond to the first offset OS1. In addition, the transition of the active patterns among the plurality of second active patterns A21 to A23 in the first row R1 may be limited to the second offset OS2. In some embodiments, the first offset OS1 and the second offset OS2 may be the same. Similarly, the transition of the active patterns in the plurality of third active patterns A31 to A33 in the second row R2 may be limited to the third offset OS3, and the plurality of fourth active patterns in the second row R2 The transition of the active patterns in patterns A41 to A43 may be limited to the fourth offset OS4. In some embodiments, the third offset OS3 and the fourth offset OS4 may be the same. The first to fourth offset amounts OS1 to OS4 may be defined by a semiconductor process used to manufacture the integrated circuit 20a, and therefore, errors caused by excessive transitions of active patterns in the integrated circuit 20a may be eliminated.
在一些实施例中,第一行R1中的有源图案的宽度和第二行R2中的有源图案的宽度可以不同。例如,第一行R1中的多个第一有源图案A11至A13的最大宽度(或最小宽度)可以与第二行R2中的多个第四有源图案A41至A43的最大宽度(或最小宽度)不同。此外,第一行R1中的多个第二有源图案A21至A23的最大宽度(或最小宽度)可以与第二行R2中的多个第三有源图案A31至A33的最大宽度(或最小宽度)不同。有源图案的最大宽度可以指有源图案的最宽宽度。因此,第一有源图案A11至A13的最大宽度可以是第一有源图案A13的宽度,并且第二有源图案A21至A23的最大宽度可以是第二有源图案A23的宽度。此外,有源图案的最小宽度可以指有源图案的最窄宽度。因此,第一有源图案A11至A13的最小宽度可以是第一有源图案A11的宽度,并且第二有源图案A21至A23的最小宽度可以是第二有源图案A21的宽度。In some embodiments, the width of the active patterns in the first row R1 and the width of the active patterns in the second row R2 may be different. For example, the maximum width (or minimum width) of the plurality of first active patterns A11 to A13 in the first row R1 may be the same as the maximum width (or minimum width) of the plurality of fourth active patterns A41 to A43 in the second row R2. width) are different. In addition, the maximum width (or minimum width) of the plurality of second active patterns A21 to A23 in the first row R1 may be the same as the maximum width (or minimum width) of the plurality of third active patterns A31 to A33 in the second row R2. width) are different. The maximum width of the active pattern may refer to the widest width of the active pattern. Therefore, the maximum width of the first active patterns A11 to A13 may be the width of the first active pattern A13, and the maximum width of the second active patterns A21 to A23 may be the width of the second active pattern A23. Furthermore, the minimum width of the active pattern may refer to the narrowest width of the active pattern. Therefore, the minimum width of the first active patterns A11 to A13 may be the width of the first active pattern A11, and the minimum width of the second active patterns A21 to A23 may be the width of the second active pattern A21.
参考图2B,集成电路20b中的有源图案可以具有两个宽度之一。例如,如图2B中所示,第一行R1中的在X轴方向上彼此重叠的多个第一有源图案A11至A13中的每一个可以具有相差第一偏移量OS1的两个宽度W11和W12之一。此外,第一行R1中的在X轴方向上彼此重叠的多个第二有源图案A21至A23中的每一个可以具有相差第二偏移量OS2的两个宽度W21和W22之一。在一些实施例中,第一偏移量OS1和第二偏移量OS2可以相同。在一些实施例中,多个第一有源图案A11至A13的宽度W11和W12可以分别与多个第二有源图案A21至A23的宽度W21和W22相同。类似地,在第二行中,在X轴方向上彼此重叠的多个第三有源图案A31至A33中的每一个可以具有相差第三偏移量OS3的两个宽度W3 1和W32之一,并且在X轴方向上彼此重叠的多个第四有源图案A41至A43中的每一个可以具有相差第四偏移量OS4的两个宽度W41和W42之一。在一些实施例中,第三偏移量OS3和第四偏移量OS4可以相同。在一些实施例中,多个第三有源图案A31至A33的宽度W31和W32可以分别与多个第四有源图案A41至A43的宽度W41和W42相同。Referring to Figure 2B, active patterns in integrated circuit 20b may have one of two widths. For example, as shown in FIG. 2B , each of the plurality of first active patterns A11 to A13 in the first row R1 that overlap each other in the X-axis direction may have two widths that differ by a first offset OS1 One of W11 and W12. In addition, each of the plurality of second active patterns A21 to A23 in the first row R1 that overlap each other in the X-axis direction may have one of two widths W21 and W22 that differ by the second offset OS2. In some embodiments, the first offset OS1 and the second offset OS2 may be the same. In some embodiments, the widths W11 and W12 of the plurality of first active patterns A11 to A13 may be the same as the widths W21 and W22 of the plurality of second active patterns A21 to A23, respectively. Similarly, in the second row, each of the plurality of third active patterns A31 to A33 that overlap each other in the X-axis direction may have one of two widths W3 1 and W32 that differ by the third offset OS3 , and each of the plurality of fourth active patterns A41 to A43 that overlap each other in the X-axis direction may have one of two widths W41 and W42 that differ by the fourth offset amount OS4. In some embodiments, the third offset OS3 and the fourth offset OS4 may be the same. In some embodiments, the widths W31 and W32 of the plurality of third active patterns A31 to A33 may be the same as the widths W41 and W42 of the plurality of fourth active patterns A41 to A43, respectively.
图3A和图3B是示出了根据示例实施例的集成电路的布局的平面图。在一些实施例中,单元可以由扩散中断(Diffusion Break)终止,并且有源图案的转变可以发生在扩散中断中。在一些实施例中,单元中的有源图案可以具有恒定宽度。例如,如图3A和图3B中所示,有源图案可以在单元内具有恒定宽度,而在不同单元中具有不同宽度。在下文中,将省略图3A和图3B的冗余描述。3A and 3B are plan views illustrating the layout of an integrated circuit according to example embodiments. In some embodiments, cells may be terminated by a diffusion break, and transitions of active patterns may occur in the diffusion break. In some embodiments, the active patterns in the cells may have a constant width. For example, as shown in Figures 3A and 3B, the active pattern can have a constant width within a cell and different widths in different cells. Hereinafter, redundant descriptions of FIGS. 3A and 3B will be omitted.
参考图3A,集成电路30a可以包括第一单元C31a和第二单元C32a。第一单元C31a可以包括沿X轴方向延伸的有源图案A11和A21以及沿Y轴方向延伸的栅电极。第二单元C32a可以包括沿X轴方向延伸的有源图案A12和A22以及沿Y轴方向延伸的栅电极。栅电极可以以接触式多间距(CPP:Contacted Poly Pitch)沿Y轴方向延伸,并且在图3A中,第一单元C31a和第二单元C32a中的每一个可以在X轴方向上具有对应于三个CPP的长度。Referring to FIG. 3A, the integrated circuit 30a may include a first unit C31a and a second unit C32a. The first unit C31a may include active patterns A11 and A21 extending in the X-axis direction and a gate electrode extending in the Y-axis direction. The second unit C32a may include active patterns A12 and A22 extending in the X-axis direction and a gate electrode extending in the Y-axis direction. The gate electrode may extend in the Y-axis direction with a Contacted Poly Pitch (CPP), and in FIG. 3A , each of the first unit C31a and the second unit C32a may have three pixels in the X-axis direction. The length of CPP.
可以在第一单元C31a和第二单元C32a的平行于Y轴的边界处形成扩散中断而不是栅电极,并且彼此相邻布置的第一单元C31a和第二单元C32a可以共享一个扩散中断。如图3A中所示,布置在栅电极的位置处的扩散中断可以被称为单扩散中断(SDB)或虚设栅极。在一些实施例中,与图3A中的图示不同,单元可以具有在栅电极之间沿X轴方向延伸的边界,并且形成在相邻单元的栅极之间的扩散中断可以被称为双扩散中断(DDB)。A diffusion break may be formed at a boundary parallel to the Y-axis of the first cell C31a and the second cell C32a instead of the gate electrode, and the first cell C31a and the second cell C32a arranged adjacent to each other may share one diffusion break. As shown in FIG. 3A, the diffusion break arranged at the location of the gate electrode may be called a single diffusion break (SDB) or a dummy gate. In some embodiments, unlike the illustration in FIG. 3A , cells may have boundaries extending in the X-axis direction between gate electrodes, and diffusion interruptions formed between gate electrodes of adjacent cells may be referred to as double Diffusion Break (DDB).
如图3A中所示,第一单元C31a中的用于PFET的有源图案A11可以具有第一宽度W1,并且第二单元C32a中的用于PFET的有源图案A12可以具有第二宽度W2。如上面参考图2A和图2B所述,第二宽度W2可以比第一宽度W1大第一偏移量OS1。可以在第一单元C31a与第二单元C32a之间的扩散中断处改变有源图案的宽度,并且可以从扩散中断去除有源图案。有源图案A11和有源图案A12可以在X轴方向上彼此间隔开,并且在有源图案A11和有源图案A12之间可以不设置有源图案,如图3A中所示。有源图案A11和有源图案A12可以彼此直接相邻。本文中所使用的术语“直接相邻”包括这样的配置:所述彼此直接相邻的两个“元件”(例如,有源图案A11和A12)被定位成使得没有其他类似元件位于所述彼此直接相邻的该两个元件之间。As shown in FIG. 3A , the active pattern A11 for the PFET in the first cell C31a may have a first width W1, and the active pattern A12 for the PFET in the second cell C32a may have a second width W2. As described above with reference to FIGS. 2A and 2B , the second width W2 may be larger than the first width W1 by a first offset OS1 . The width of the active pattern may be changed at the diffusion interruption between the first cell C31a and the second cell C32a, and the active pattern may be removed from the diffusion interruption. The active pattern A11 and the active pattern A12 may be spaced apart from each other in the X-axis direction, and no active pattern may be provided between the active pattern A11 and the active pattern A12, as shown in FIG. 3A. The active pattern A11 and the active pattern A12 may be directly adjacent to each other. The term "directly adjacent" as used herein includes a configuration in which two "elements" directly adjacent to each other (eg, active patterns A11 and A12) are positioned such that no other similar elements are located between each other. between two directly adjacent components.
参考图3B,集成电路30b可以包括第一单元C31b至第四单元C34b。第一单元C31b可以包括沿X轴方向延伸的有源图案A11和A21以及沿Y轴方向延伸的栅电极。第二单元C32b可以包括沿X轴方向延伸的有源图案A12和A22以及沿Y轴方向延伸的栅电极。如图3B中所示,第一单元C31b的有源图案A11和第二单元C32b的有源图案A12可以具有第一宽度W1,并且可以通过在第一单元C31b与第二单元C32b之间沿Y轴方向延伸的SDB彼此间隔开。Referring to FIG. 3B, the integrated circuit 30b may include first to fourth units C31b to C34b. The first unit C31b may include active patterns A11 and A21 extending in the X-axis direction and a gate electrode extending in the Y-axis direction. The second unit C32b may include active patterns A12 and A22 extending in the X-axis direction and a gate electrode extending in the Y-axis direction. As shown in FIG. 3B , the active pattern A11 of the first unit C31b and the active pattern A12 of the second unit C32b may have a first width W1, and may be formed by passing along Y between the first unit C31b and the second unit C32b. The axially extending SDBs are spaced apart from each other.
第四单元C34b可以包括沿X轴方向延伸的有源图案A13和A23以及沿Y轴方向延伸的栅电极。第四单元C34b的有源图案A13的第二宽度W2可以比第二单元C32b的有源图案A12的第一宽度W1大第一偏移量OS1。与图3A的集成电路30a不同,图3B的集成电路30b中的有源图案的转变可能需要扩散中断沿Y轴方向延伸达CPP或更大的宽度。因此,集成电路30b可以包括位于第二单元C32b与第四单元C34b之间的第三单元C33b,并且可以从第三单元C33b去除有源图案。在一些实施例中,第三单元C33b可以不包括任何有源图案。在本文中,包括晶体管(或有源图案)并被设计为通过晶体管执行功能的单元(例如,第一单元C31b、第二单元C32b和第四单元C34b)可以被称为功能单元。此外,如第三单元C33b中所示,插入在功能单元之间的用于有源图案的转变的单元可以被称为填充单元。在一些实施例中,与图3B中的图示不同,填充单元可以对应于一个CPP(1CPP)或者在X轴方向上具有超过两个CPP(2CPP)的长度。The fourth unit C34b may include active patterns A13 and A23 extending in the X-axis direction and a gate electrode extending in the Y-axis direction. The second width W2 of the active pattern A13 of the fourth unit C34b may be larger than the first width W1 of the active pattern A12 of the second unit C32b by the first offset OS1. Unlike the integrated circuit 30a of FIG. 3A, the transition of the active pattern in the integrated circuit 30b of FIG. 3B may require diffusion interruptions to extend along the Y-axis direction by a width of CPP or greater. Therefore, the integrated circuit 30b may include the third cell C33b between the second cell C32b and the fourth cell C34b, and the active pattern may be removed from the third cell C33b. In some embodiments, the third cell C33b may not include any active patterns. Herein, units including transistors (or active patterns) and designed to perform functions through the transistors (for example, the first unit C31b, the second unit C32b, and the fourth unit C34b) may be referred to as functional units. Furthermore, as shown in the third cell C33b, a unit for conversion of an active pattern inserted between functional units may be called a filling unit. In some embodiments, unlike the illustration in FIG. 3B , the filling unit may correspond to one CPP (1CPP) or have a length of more than two CPPs (2CPP) in the X-axis direction.
图4是示出了根据示例实施例的单元的布局的平面图。在一些实施例中,有源图案的转变可以发生在单元中。4 is a plan view showing the layout of a unit according to an example embodiment. In some embodiments, active pattern transitions may occur within cells.
参考图4,单元C40可以包括在X轴方向上彼此重叠的有源图案A11和A12以及在X轴方向上彼此重叠的有源图案A21和A22。有源图案A12的宽度W12可以比有源图案A11的宽度W11大第一偏移量OS1,并且有源图案A22的宽度W22可以比有源图案A21的宽度W21大第二偏移量OS2。第一偏移量OS1和第二偏移量OS2可以由半导体工艺定义,并且单元C40可以被设计为包括与第一偏移量OS1或第二偏移量OS2相对应的有源图案的转变。因此,单元C40可以被设计为具有优化的性能和效率,并且可以减少或防止由单元C40引起的误差。Referring to FIG. 4 , cell C40 may include active patterns A11 and A12 overlapping each other in the X-axis direction and active patterns A21 and A22 overlapping each other in the X-axis direction. The width W12 of the active pattern A12 may be larger than the width W11 of the active pattern A11 by a first offset OS1, and the width W22 of the active pattern A22 may be larger than the width W21 of the active pattern A21 by a second offset OS2. The first offset OS1 and the second offset OS2 may be defined by a semiconductor process, and the unit C40 may be designed to include a transition of the active pattern corresponding to the first offset OS1 or the second offset OS2. Therefore, unit C40 can be designed with optimized performance and efficiency, and errors caused by unit C40 can be reduced or prevented.
图5是示出了根据示例实施例的集成电路50的布局的平面图。如上面参考图2A和图2B所述,集成电路50可以包括多个单元,并且多个单元可以布置在沿X轴方向延伸的行(例如,第一行R1和/或第二行R2)中。如上面参考图2A和图2B所述,第一行R1的第一高度H1和第二行R2的第二高度H2可以彼此相同或彼此不同。FIG. 5 is a plan view showing the layout of integrated circuit 50 according to an example embodiment. As described above with reference to FIGS. 2A and 2B , the integrated circuit 50 may include a plurality of cells, and the plurality of cells may be arranged in rows extending along the X-axis direction (eg, the first row R1 and/or the second row R2 ). . As described above with reference to FIGS. 2A and 2B , the first height H1 of the first row R1 and the second height H2 of the second row R2 may be the same as each other or different from each other.
在一些实施例中,单元中的用于NFET的有源图案的宽度和用于PFET的有源图案的宽度可以彼此不同。例如,如图5中所示,用于NFET的有源图案A11和用于PFET的有源图案A12可以在第一行R1中沿X轴方向延伸。用于NFET的有源图案A11的宽度W11可以小于用于PFET的有源图案A12的宽度W12(W11<W12)。在一些实施例中,与图5中的图示不同,用于NFET的有源图案A11的宽度W11可以大于用于PFET的有源图案A12的宽度W12(W11>W12)。此外,如图5中所示,用于PFET的有源图案A21和用于NFET的有源图案A22可以在第二行R2中沿X轴方向延伸。用于PFET的有源图案A21的宽度W21可以大于用于NFET的有源图案A22的宽度W22(W21>W22)。在一些实施例中,与图5中的图示不同,用于PFET的有源图案A21的宽度W21可以小于用于NFET的有源图案A22的宽度W22(W21<W22)。In some embodiments, the width of the active pattern for the NFET and the width of the active pattern for the PFET in the cell may be different from each other. For example, as shown in FIG. 5 , the active pattern A11 for NFET and the active pattern A12 for PFET may extend in the X-axis direction in the first row R1. The width W11 of the active pattern A11 for NFET may be smaller than the width W12 of the active pattern A12 for PFET (W11<W12). In some embodiments, unlike the illustration in FIG. 5 , the width W11 of the active pattern A11 for NFET may be larger than the width W12 of the active pattern A12 for PFET (W11>W12). Furthermore, as shown in FIG. 5 , the active pattern A21 for PFET and the active pattern A22 for NFET may extend in the X-axis direction in the second row R2. The width W21 of the active pattern A21 for PFET may be larger than the width W22 of the active pattern A22 for NFET (W21>W22). In some embodiments, unlike the illustration in FIG. 5 , the width W21 of the active pattern A21 for PFET may be smaller than the width W22 of the active pattern A22 for NFET (W21<W22).
图6A至图6F是示出了根据示例实施例的集成电路的布局的平面图。图6A至图6F的平面图示出了具有不同宽度的有源图案以各种方式对齐的示例。在图6A至图6F中,集成电路60a至60f中的每一个可以包括在第一行R1和第二行R2的边界上沿X轴方向延伸的第一金属图案M61至第三金属图案M63。可以向第一金属图案M61和第三金属图案M63施加负电源电压VSS,并且可以向第二金属图案M62施加正电源电压VDD。6A-6F are plan views illustrating the layout of an integrated circuit according to example embodiments. 6A to 6F are plan views showing examples in which active patterns with different widths are aligned in various ways. In FIGS. 6A to 6F , each of the integrated circuits 60 a to 60 f may include first to third metal patterns M61 to M63 extending in the X-axis direction on the boundary of the first and second rows R1 and R2 . The negative power supply voltage VSS may be applied to the first and third metal patterns M61 and M63, and the positive power supply voltage VDD may be applied to the second metal pattern M62.
参考图6A,集成电路60a可以包括在第一行R1和第二行R2中沿X轴方向延伸的有源图案。例如,在第一行R1中,集成电路60a可以包括在X轴方向上彼此重叠的多个第一有源图案A11至A14,并且可以包括在X轴方向上彼此重叠的多个第二有源图案A21至A24。在一些实施例中,有源图案的转变可以支持两个偏移量。例如,相邻有源图案A11和A12之间的偏移量可以与相邻有源图案A12和A13之间的偏移量相同,并且可以与相邻有源图案A13和A14之间的偏移量不同。Referring to FIG. 6A, the integrated circuit 60a may include active patterns extending in the X-axis direction in the first and second rows R1 and R2. For example, in the first row R1, the integrated circuit 60a may include a plurality of first active patterns A11 to A14 overlapping each other in the X-axis direction, and may include a plurality of second active patterns A11 to A14 overlapping each other in the X-axis direction. Patterns A21 to A24. In some embodiments, active pattern transitions may support two offsets. For example, the offset between adjacent active patterns A11 and A12 may be the same as the offset between adjacent active patterns A12 and A13, and may be the same as the offset between adjacent active patterns A13 and A14. The amount is different.
在一些实施例中,有源图案可以具有与沿X轴方向延伸的线重叠的边界。例如,如图6A中所示,多个第一有源图案A11至A14可以具有与沿X轴方向延伸的线X1-X1′重叠的边界,并且多个第二有源图案A21至A24可以具有与沿X轴方向延伸的线X2-X2’重叠的边界。如图6A中所示,线X1-X1′和线X2-X2′可以与第一行R1的边界相邻,因此,多个第一有源图案A11至A14和多个第二有源图案A21至A24可以布置在线X1-X1’与线X2-X2’之间。多个第一有源图案A11至A14可以包括沿X轴方向对齐的相应侧表面(也被称为第一外侧表面),并且多个第二有源图案A21至A24可以包括沿X轴方向对齐的相应侧表面(也被称为第二外侧表面),如图6A中所示。多个第一有源图案A11至A14还可以包括面对多个第二有源图案A21至A24的第一内侧表面,并且多个第二有源图案A21至A24还可以包括面对多个第一有源图案A11至A14的第二内侧表面。In some embodiments, the active pattern may have a boundary that overlaps a line extending in the X-axis direction. For example, as shown in FIG. 6A , the plurality of first active patterns A11 to A14 may have boundaries overlapping the lines X1-X1′ extending in the X-axis direction, and the plurality of second active patterns A21 to A24 may have A boundary that overlaps the line X2-X2' extending in the X-axis direction. As shown in FIG. 6A , the lines X1 - X1 ′ and the lines X2 - to A24 can be arranged between line X1-X1' and line X2-X2'. The plurality of first active patterns A11 to A14 may include corresponding side surfaces (also referred to as first outer surfaces) aligned in the X-axis direction, and the plurality of second active patterns A21 to A24 may include corresponding side surfaces aligned in the X-axis direction. The corresponding side surface (also referred to as the second outer surface) is as shown in Figure 6A. The plurality of first active patterns A11 to A14 may further include first inner surfaces facing the plurality of second active patterns A21 to A24, and the plurality of second active patterns A21 to A24 may further include first inner surfaces facing the plurality of second active patterns A21 to A24. A second inner surface of the active patterns A11 to A14.
参考图6B,集成电路60b可以包括在第一行R1和第二行R2中沿X轴方向延伸的有源图案。例如,在第一行R1中,集成电路60b可以包括在X轴方向上彼此重叠的多个第一有源图案A11至A14,并且可以包括在X轴方向上彼此重叠的多个第二有源图案A21至A24。在一些实施例中,有源图案的转变可以支持两个偏移量。例如,相邻有源图案A11和A12之间的偏移量可以与相邻有源图案A12和A13之间的偏移量相同,并且可以与相邻有源图案A13和A14之间的偏移量不同。Referring to FIG. 6B, the integrated circuit 60b may include active patterns extending in the X-axis direction in the first and second rows R1 and R2. For example, in the first row R1, the integrated circuit 60b may include a plurality of first active patterns A11 to A14 overlapping each other in the X-axis direction, and may include a plurality of second active patterns A11 to A14 overlapping each other in the X-axis direction. Patterns A21 to A24. In some embodiments, active pattern transitions may support two offsets. For example, the offset between adjacent active patterns A11 and A12 may be the same as the offset between adjacent active patterns A12 and A13, and may be the same as the offset between adjacent active patterns A13 and A14. The amount is different.
在一些实施例中,有源图案可以具有与沿X轴方向延伸的线重叠的边界。例如,如图6B中所示,多个第一有源图案A11至A14可以具有与沿X轴方向延伸的线X1-X1′重叠的边界,并且多个第二有源图案A21至A24可以具有与沿X轴方向延伸的线X2-X2’重叠的边界。如图6B中所示,线X1-X1′和线X2-X2′可以与第一行R1的中心相邻,因此,线X1-X1′和线X2-X2′可以在多个第一有源图案A11至A14与多个第二有源图案A21至A24之间沿X轴方向延伸。多个第一有源图案A11至A14可以包括沿X轴方向对齐并面对多个第二有源图案A21至A24的第一内侧表面,并且多个第二有源图案A21至A24可以包括沿X轴方向对齐并面对多个第一有源图案A11至A14的第二内侧表面,如图6B中所示。In some embodiments, the active pattern may have a boundary that overlaps a line extending in the X-axis direction. For example, as shown in FIG. 6B , the plurality of first active patterns A11 to A14 may have boundaries overlapping the lines X1-X1′ extending in the X-axis direction, and the plurality of second active patterns A21 to A24 may have A boundary that overlaps the line X2-X2' extending in the X-axis direction. As shown in FIG. 6B, the lines X1-X1' and the lines X2-X2' may be adjacent to the center of the first row R1. Therefore, the lines X1-X1' and the lines The patterns A11 to A14 and the plurality of second active patterns A21 to A24 extend along the X-axis direction. The plurality of first active patterns A11 to A14 may include first inner surfaces aligned in the X-axis direction and facing the plurality of second active patterns A21 to A24, and the plurality of second active patterns A21 to A24 may include first inner surfaces along The X-axis direction is aligned with and faces the second inner surfaces of the plurality of first active patterns A11 to A14, as shown in FIG. 6B.
参考图6C,集成电路60c可以包括在第一行R1和第二行R2中沿X轴方向延伸的有源图案。例如,在第一行R1中,集成电路60c可以包括在X轴方向上彼此重叠的多个第一有源图案A11至A14,并且可以包括在X轴方向上彼此重叠的多个第二有源图案A21至A24。在一些实施例中,有源图案的转变可以支持两个偏移量。例如,相邻有源图案A11和A12之间的偏移量可以与相邻有源图案A12和A13之间的偏移量相同,并且可以与相邻有源图案A13和A14之间的偏移量不同。Referring to FIG. 6C , the integrated circuit 60c may include active patterns extending in the X-axis direction in the first row R1 and the second row R2. For example, in the first row R1, the integrated circuit 60c may include a plurality of first active patterns A11 to A14 overlapping each other in the X-axis direction, and may include a plurality of second active patterns A11 to A14 overlapping each other in the X-axis direction. Patterns A21 to A24. In some embodiments, active pattern transitions may support two offsets. For example, the offset between adjacent active patterns A11 and A12 may be the same as the offset between adjacent active patterns A12 and A13, and may be the same as the offset between adjacent active patterns A13 and A14. The amount is different.
在一些实施例中,有源图案可以具有与沿X轴方向延伸的线重叠的边界。例如,如图6C中所示,多个第一有源图案A11至A14可以具有与沿X轴方向延伸的线X1-X1′重叠的边界,并且多个第二有源图案A21至A24可以具有与沿X轴方向延伸的线X2-X2’重叠的边界。如图6C中所示,线X1-X1′可以与第一行R1的边界相邻,而线X2-X2′可以与第一行R1的中心相邻。因此,多个第一有源图案A11至A14可以布置在线X1-X1’与线X2-X2’之间,并且线X2-X2′可以在多个第一有源图案A11至A14与多个第二有源图案A21至A24之间沿X轴方向延伸。多个第一有源图案A11至A14可以包括沿X轴方向对齐的第一外侧表面并且可以包括面对多个第二有源图案A21至A24的第一内侧表面,并且多个第二有源图案A21至A24可以包括沿X轴方向对齐并面对多个第一有源图案A11至A14的第二内侧表面,如图6C中所示。In some embodiments, the active pattern may have a boundary that overlaps a line extending in the X-axis direction. For example, as shown in FIG. 6C , the plurality of first active patterns A11 to A14 may have boundaries overlapping the lines X1-X1′ extending in the X-axis direction, and the plurality of second active patterns A21 to A24 may have A boundary that overlaps the line X2-X2' extending in the X-axis direction. As shown in Figure 6C, lines X1-X1' may be adjacent to the boundary of the first row R1, and lines X2-X2' may be adjacent to the center of the first row R1. Therefore, the plurality of first active patterns A11 to A14 may be arranged between the lines X1-X1' and the lines X2-X2', and the lines X2-X2' may be arranged between the plurality of first active patterns A11 to A14 and the plurality of The two active patterns A21 to A24 extend along the X-axis direction. The plurality of first active patterns A11 to A14 may include first outer surfaces aligned in the X-axis direction and may include first inner surfaces facing the plurality of second active patterns A21 to A24, and the plurality of second active patterns The patterns A21 to A24 may include second inner surfaces aligned in the X-axis direction and facing the plurality of first active patterns A11 to A14, as shown in FIG. 6C .
参考图6D,集成电路60d可以包括在第一行R1和第二行R2中沿X轴方向延伸的有源图案。例如,在第一行R1中,集成电路60d可以包括在X轴方向上彼此重叠的多个第一有源图案A11至A14,并且可以包括在X轴方向上彼此重叠的多个第二有源图案A21至A24。在一些实施例中,有源图案的转变可以支持两个偏移量。例如,相邻有源图案A11和A12之间的偏移量可以与相邻有源图案A12和A13之间的偏移量相同,并且可以与相邻有源图案A13和A14之间的偏移量不同。Referring to FIG. 6D , the integrated circuit 60d may include active patterns extending in the X-axis direction in the first row R1 and the second row R2. For example, in the first row R1, the integrated circuit 60d may include a plurality of first active patterns A11 to A14 overlapping each other in the X-axis direction, and may include a plurality of second active patterns A11 to A14 overlapping each other in the X-axis direction. Patterns A21 to A24. In some embodiments, active pattern transitions may support two offsets. For example, the offset between adjacent active patterns A11 and A12 may be the same as the offset between adjacent active patterns A12 and A13, and may be the same as the offset between adjacent active patterns A13 and A14. The amount is different.
在一些实施例中,每个有源图案的中心(例如,在Y轴方向上的中心点)可以对齐以与沿X轴方向延伸的线重叠。例如,如图6D中所示,第一有源图案A11至A14中的每个第一有源图案的中心可以对齐以与沿X轴方向延伸的线X1-X1′重叠,并且多个第二有源图案A21至A24中的每个第二有源图案的中心可以对齐以与沿X轴方向延伸的线X2-X2′重叠。第一有源图案A11至A14可以包括Y轴方向上的相应中心点,并且第一有源图案A11至A14的中心点沿X轴方向对齐。第二有源图案A21至A24可以包括Y轴方向上的相应中心点,并且第二有源图案A21至A24的中心点沿X轴方向对齐,如图6D中所示。In some embodiments, the center of each active pattern (eg, a center point in the Y-axis direction) may be aligned to overlap a line extending in the X-axis direction. For example, as shown in FIG. 6D , the center of each of the first active patterns A11 to A14 may be aligned to overlap the line X1-X1′ extending in the X-axis direction, and a plurality of second The center of each of the second active patterns A21 to A24 may be aligned to overlap the line X2-X2' extending in the X-axis direction. The first active patterns A11 to A14 may include corresponding center points in the Y-axis direction, and the center points of the first active patterns A11 to A14 are aligned in the X-axis direction. The second active patterns A21 to A24 may include corresponding center points in the Y-axis direction, and the center points of the second active patterns A21 to A24 are aligned in the X-axis direction, as shown in FIG. 6D .
参考图6E,集成电路60e可以包括在第一行R1和第二行R2中沿X轴方向延伸的有源图案。例如,在第一行R1中,集成电路60e可以包括在X轴方向上彼此重叠的多个第一有源图案A11至A14,并且可以包括在X轴方向上彼此重叠的多个第二有源图案A21至A24。此外,集成电路60e可以在第二行R2中包括在X轴方向上彼此重叠的多个第三有源图案A31至A34。在一些实施例中,有源图案的转变可以支持两个偏移量。例如,相邻有源图案A11和A12之间的偏移量可以与相邻有源图案A12和A13之间的偏移量相同,并且可以与相邻有源图案A13和A14之间的偏移量不同。Referring to FIG. 6E , the integrated circuit 60e may include active patterns extending in the X-axis direction in the first row R1 and the second row R2. For example, in the first row R1, the integrated circuit 60e may include a plurality of first active patterns A11 to A14 overlapping each other in the X-axis direction, and may include a plurality of second active patterns A11 to A14 overlapping each other in the X-axis direction. Patterns A21 to A24. Furthermore, the integrated circuit 60e may include a plurality of third active patterns A31 to A34 overlapping each other in the X-axis direction in the second row R2. In some embodiments, active pattern transitions may support two offsets. For example, the offset between adjacent active patterns A11 and A12 may be the same as the offset between adjacent active patterns A12 and A13, and may be the same as the offset between adjacent active patterns A13 and A14. The amount is different.
在一些实施例中,可以布置有源图案并且有源图案可以具有宽度以与Y轴方向上的相邻有源图案具有恒定距离。例如,如图6E中所示,在第一行R1中,多个第一有源图案A11至A14可以分别与多个对应的第二有源图案A21至A24间隔开第一距离D1。此外,第一行中的多个第二有源图案A21至A24可以分别与多个对应的第三有源图案A31至A34间隔开第二距离D2。第一距离D1和第二距离D2可以彼此相同或彼此不同。In some embodiments, the active patterns may be arranged and may have a width to have a constant distance from adjacent active patterns in the Y-axis direction. For example, as shown in FIG. 6E , in the first row R1 , the plurality of first active patterns A11 to A14 may be spaced apart from the plurality of corresponding second active patterns A21 to A24 by a first distance D1 respectively. In addition, the plurality of second active patterns A21 to A24 in the first row may be spaced apart from the plurality of corresponding third active patterns A31 to A34 by a second distance D2, respectively. The first distance D1 and the second distance D2 may be the same as each other or different from each other.
参考图6F,集成电路60f可以包括在第一行R1和第二行R2中沿X轴方向延伸的有源图案。例如,在第一行R1中,集成电路60f可以包括在X轴方向上彼此重叠的多个第一有源图案A11至A14,并且可以包括在X轴方向上彼此重叠的多个第二有源图案A21至A24。此外,集成电路60f可以在第二行R2中包括在X轴方向上彼此重叠的多个第三有源图案A31至A34。在一些实施例中,有源图案的转变可以支持两个偏移量。例如,相邻有源图案A11和A12之间的偏移量可以与相邻有源图案A12和A13之间的偏移量相同,并且可以与相邻有源图案A13和A14之间的偏移量不同。Referring to FIG. 6F, the integrated circuit 60f may include active patterns extending in the X-axis direction in the first and second rows R1 and R2. For example, in the first row R1, the integrated circuit 60f may include a plurality of first active patterns A11 to A14 overlapping each other in the X-axis direction, and may include a plurality of second active patterns A11 to A14 overlapping each other in the X-axis direction. Patterns A21 to A24. Furthermore, the integrated circuit 60f may include a plurality of third active patterns A31 to A34 overlapping each other in the X-axis direction in the second row R2. In some embodiments, active pattern transitions may support two offsets. For example, the offset between adjacent active patterns A11 and A12 may be the same as the offset between adjacent active patterns A12 and A13, and may be the same as the offset between adjacent active patterns A13 and A14. The amount is different.
在一些实施例中,每个有源图案的中心(例如,在Y轴方向上的中心点)可以对齐以与沿X轴方向延伸的线重叠。例如,如图6F中所示,第一有源图案A11至A14中的每个第一有源图案的中心可以对齐以与沿X轴方向延伸的线X1-X1′重叠,多个第二有源图案A21至A24中的每个第二有源图案的中心可以对齐以与沿X轴方向延伸的线X2-X2′重叠,并且多个第三有源图案A31至A34中的每个第三有源图案的中心可以对齐以与沿X轴方向延伸的线X3-X3′重叠。In some embodiments, the center of each active pattern (eg, a center point in the Y-axis direction) may be aligned to overlap a line extending in the X-axis direction. For example, as shown in FIG. 6F , the center of each of the first active patterns A11 to A14 may be aligned to overlap the line X1-X1′ extending in the X-axis direction, and the plurality of second active patterns The center of each second active pattern among the source patterns A21 to A24 may be aligned to overlap the line X2-X2′ extending in the X-axis direction, and each third active pattern among the plurality of third active patterns A31 to A34 The center of the active pattern may be aligned to overlap the line X3-X3' extending in the X-axis direction.
在一些实施例中,每个有源图案可以具有宽度以与Y轴方向上的相邻有源图案具有恒定距离。例如,如图6F中所示,在第一行R1中,多个第一有源图案A11至A14可以分别与多个对应的第二有源图案A21至A24间隔开第一距离D1。此外,第一行R1中的多个第二有源图案A21至A24可以分别与多个对应的第三有源图案A31至A34间隔开第二距离D2。第一距离D1和第二距离D2可以彼此相同或彼此不同。In some embodiments, each active pattern may have a width to have a constant distance from adjacent active patterns in the Y-axis direction. For example, as shown in FIG. 6F , in the first row R1 , the plurality of first active patterns A11 to A14 may be spaced apart from the plurality of corresponding second active patterns A21 to A24 by a first distance D1 respectively. In addition, the plurality of second active patterns A21 to A24 in the first row R1 may be spaced apart from the plurality of corresponding third active patterns A31 to A34 by a second distance D2 respectively. The first distance D1 and the second distance D2 may be the same as each other or different from each other.
图7是示出了根据示例实施例的制造集成电路(IC)的方法的流程图。例如,图7的流程图示出了制造包括标准单元的集成电路(IC)的方法的示例。如图7中所示,制造集成电路(IC)的方法可以包括多个操作S10、S30、S50、S70和S90。7 is a flowchart illustrating a method of manufacturing an integrated circuit (IC) according to example embodiments. For example, the flowchart of FIG. 7 shows an example of a method of manufacturing an integrated circuit (IC) including standard cells. As shown in FIG. 7, a method of manufacturing an integrated circuit (IC) may include a plurality of operations S10, S30, S50, S70, and S90.
单元库(或标准单元库)D12可以包括关于标准单元的信息,例如关于功能、特性、布局等的信息。在一些实施例中,单元库D12可以定义标准单元,每个标准单元包括不同宽度的有源图案。在一些实施例中,单元库D12可以定义包括宽度被改变的有源图案的标准单元。在一些实施例中,单元库D12可以定义针对有源图案的转变而插入的填充单元。在一些实施例中,单元库D12可以定义分别包括用于PFET的有源图案和用于NFET的有源图案的标准单元,这些标准单元具有不同的宽度。The unit library (or standard unit library) D12 may include information on standard units, such as information on functions, characteristics, layout, etc. In some embodiments, the cell library D12 may define standard cells, each standard cell including active patterns of different widths. In some embodiments, the cell library D12 may define standard cells including active patterns whose widths are changed. In some embodiments, cell library D12 may define fill cells inserted for transitions of active patterns. In some embodiments, the cell library D12 may define standard cells having different widths including active patterns for PFETs and active patterns for NFETs, respectively.
设计规则D14可以包括集成电路IC的布局要遵守的要求。例如,设计规则D14可以包括对同层图案之间的间距、图案的最小宽度、布线层的路由方向等的要求。在一些实施例中,设计规则D14可以定义布线层的同一轨道中的最小间隔距离。Design rules D14 may include requirements to be adhered to by the layout of the integrated circuit IC. For example, the design rule D14 may include requirements for the spacing between patterns on the same layer, the minimum width of patterns, the routing direction of wiring layers, etc. In some embodiments, design rule D14 may define a minimum separation distance in the same track of a routing layer.
在操作S10中,可以执行从寄存器传送级(RTL)数据D11生成网表D13的逻辑综合操作。例如,半导体设计工具(例如,逻辑综合工具)可以参考单元库D12对RTL数据D11执行逻辑综合,并生成包括比特流或网表的网表D13,该逻辑综合被编写为硬件描述语言(HDL),例如VHSIC硬件描述语言(VHDL)和Verilog。网表D13可以与布置和路由的输入相对应,这将在下面进行描述。In operation S10, a logic synthesis operation of generating the netlist D13 from the register transfer level (RTL) data D11 may be performed. For example, a semiconductor design tool (eg, a logic synthesis tool) may perform logic synthesis on the RTL data D11 with reference to the cell library D12 and generate a netlist D13 including a bitstream or a netlist, the logic synthesis being written as a hardware description language (HDL) , such as VHSIC Hardware Description Language (VHDL) and Verilog. Netlist D13 may correspond to the placement and routing inputs, which will be described below.
在操作S30中,可以布置单元。例如,半导体设计工具(例如,P&R工具)可以参考单元库D12和设计规则D14来布置网表D13中所使用的标准单元。在一些实施例中,设计规则D14可以定义一行中所允许的有源图案的转变。例如,设计规则D14可以定义一行中所允许的至少一个偏移量,并且相邻有源图案可以具有相同宽度,或相邻有源图案的宽度可以相差由设计规则D14定义的至少一个偏移量。半导体设计工具可以考虑相邻的标准单元,来从单元库D12中选择包括具有适当宽度的有源图案的标准单元,并且可以布置所选择的标准单元。In operation S30, units may be arranged. For example, a semiconductor design tool (eg, a P&R tool) may refer to the cell library D12 and the design rule D14 to lay out the standard cells used in the netlist D13. In some embodiments, design rule D14 may define the transitions of active patterns allowed in a row. For example, design rule D14 may define at least one offset allowed in a row, and adjacent active patterns may have the same width, or the widths of adjacent active patterns may differ by at least one offset defined by design rule D14 . The semiconductor design tool may consider adjacent standard cells to select a standard cell including an active pattern with an appropriate width from the cell library D12, and may arrange the selected standard cell.
在操作S50中,可以路由单元的引脚。例如,半导体设计工具可以生成将所布置的标准单元的输出引脚和输入引脚电连接的互连,并且可以生成定义所布置的标准单元和所生成的互连的布局数据D15。每个互连可以包括过孔层的过孔和/或布线层的图案。布局数据D15可以具有例如图形设计系统-II(GDSII)的格式,并且可以包括单元和互连的几何信息。半导体设计工具可以在路由单元的引脚的同时参考设计规则D14。布局数据D15可以与布置和路由的输出相对应。操作S50可以独自被称为设计集成电路的方法,或者操作S30和S50可以共同被称为设计集成电路的方法。In operation S50, the pins of the unit may be routed. For example, the semiconductor design tool may generate interconnections electrically connecting output pins and input pins of arranged standard cells, and may generate layout data D15 defining the arranged standard cells and the generated interconnections. Each interconnect may include a via layer of vias and/or a pattern of wiring layers. The layout data D15 may have a format such as Graphic Design System-II (GDSII), and may include geometric information of cells and interconnections. Semiconductor design tools can reference design rule D14 while routing the pins of the cell. The layout data D15 may correspond to the output of placement and routing. Operation S50 alone may be referred to as a method of designing an integrated circuit, or operations S30 and S50 may collectively be referred to as a method of designing an integrated circuit.
在操作S70中,可以执行制造掩模的操作。例如,用于校正失真(例如,光刻中由于光特性引起的折射)的光学邻近校正(OPC)可以应用于布局数据D15。基于应用了OPC的数据,可以定义掩模上的图案以形成布置在多个层上的图案,并且可以制造用于形成多个层中的每个层的图案的至少一个掩模(或光掩模)。在一些实施例中,在操作S70中可以有限地改变集成电路(IC)的布局,并且在操作S70中对集成电路IC的有限改变可以被称为设计监管,作为用于优化集成电路(IC)的结构的后处理。In operation S70, an operation of manufacturing a mask may be performed. For example, optical proximity correction (OPC) for correcting distortion (eg, refraction due to light characteristics in lithography) may be applied to the layout data D15. Based on the data to which OPC is applied, a pattern on the mask can be defined to form a pattern arranged on a plurality of layers, and at least one mask (or photomask) for forming a pattern for each of the plurality of layers can be manufactured. mold). In some embodiments, the layout of the integrated circuit (IC) may be limitedly changed in operation S70 , and the limited changes to the integrated circuit (IC) in operation S70 may be referred to as design supervision as a method for optimizing the integrated circuit (IC). post-processing of the structure.
在操作S90中,可以执行制造集成电路(IC)的操作。例如,可以通过使用在操作S70中制造的至少一个掩模对多个层进行图案化来制造集成电路(IC)。前道工序(FEOL)可以包括例如以下操作:平坦化并清洁晶片;形成沟槽;形成阱;形成栅电极;以及形成源极和漏极。通过FEOL,可以在衬底上形成各个器件,例如晶体管、电容器、电阻器等。此外,后道工序(BEOL)可以包括例如以下操作:将栅极区、源极区和漏极区硅化;添加电介质;执行平坦化;形成孔,添加金属层;形成过孔;形成钝化层等。通过BEOL,诸如晶体管、电容器、电阻器等的各个器件可以互连。在一些实施例中,可以在FEOL与BEOL之间执行中道工序(MOL),并且可以在各个器件上形成接触部。然后,集成电路(IC)可以被封装在半导体封装中并且可以用作各种应用的组件。In operation S90, an operation of manufacturing an integrated circuit (IC) may be performed. For example, an integrated circuit (IC) may be manufactured by patterning a plurality of layers using at least one mask manufactured in operation S70. Front-end-of-line (FEOL) may include operations such as: planarizing and cleaning the wafer; forming trenches; forming wells; forming gate electrodes; and forming source and drain electrodes. Through FEOL, various devices such as transistors, capacitors, resistors, etc. can be formed on the substrate. In addition, the back-end-of-line (BEOL) may include, for example, the following operations: silicide the gate, source, and drain regions; add dielectric; perform planarization; form holes, add metal layers; form vias; form passivation layers wait. Through BEOL, individual devices such as transistors, capacitors, resistors, etc. can be interconnected. In some embodiments, a mid-line process (MOL) may be performed between the FEOL and BEOL, and contacts may be formed on the respective devices. The integrated circuit (IC) can then be packaged in a semiconductor package and used as a component in various applications.
图8是示出了根据示例实施例的片上系统(SoC)80的框图。片上系统80是半导体器件并且可以包括根据示例实施例的集成电路。片上系统80在一个芯片中实现执行各种功能的复杂块(例如,知识产权(IP)),并且片上系统80可以通过根据示例实施例的设计集成电路的方法来设计,因此,片上系统80可以提供高良品率和可靠性,并且具有良好的(例如,最佳或最高)性能和效率。参考图8,片上系统80可以包括调制解调器82、显示控制器83、存储器84、外部存储器控制器85、中央处理单元(CPU)86、事务单元87、电源管理IC(PMIC)88和图形处理单元(GPU)89。片上系统80的各个功能块可以通过系统总线81彼此通信。8 is a block diagram illustrating a system on a chip (SoC) 80 according to an example embodiment. System-on-chip 80 is a semiconductor device and may include an integrated circuit according to example embodiments. The system-on-chip 80 implements complex blocks (for example, intellectual property (IP)) that perform various functions in one chip, and the system-on-chip 80 can be designed by a method of designing an integrated circuit according to example embodiments. Therefore, the system-on-chip 80 can Provide high yield and reliability with good (e.g., best or highest) performance and efficiency. Referring to FIG. 8 , the system-on-chip 80 may include a modem 82 , a display controller 83 , a memory 84 , an external memory controller 85 , a central processing unit (CPU) 86 , a transaction unit 87 , a power management IC (PMIC) 88 and a graphics processing unit ( GPU)89. The various functional blocks of the system on chip 80 can communicate with each other through the system bus 81 .
能够在最高级别控制片上系统80的操作的CPU 86可以控制其他功能块82至85以及87至89的操作。调制解调器82可以解调从片上系统80的外部接收的信号,或者调制在片上系统80内部产生的信号以向外部发送信号。外部存储器控制器85可以控制从与片上系统80连接的外部存储器件发送和接收数据的操作。例如,可以在外部存储器控制器85的控制下向CPU 86或GPU 89提供外部存储器件中所存储的程序和/或数据。GPU 89可以执行与图形处理相关的程序指令。GPU 89可以通过外部存储器控制器85接收图形数据,或者可以通过外部存储器控制器85向片上系统80的外部发送由GPU 89处理的图形数据。事务单元87可以监测每个功能块的数据事务,并且PMIC 88可以在事务单元87的控制下控制向每个功能块供应的电力。显示控制器83可以通过控制片上系统80外部的显示器(或显示设备)向显示器发送在片上系统80内部产生的数据。存储器84可以包括非易失性存储器(例如,电可擦除可编程只读存储器(EEPROM)、闪存等),或者可以包括易失性存储器(例如,动态随机存取存储器(DRAM)、静态随机存取存储器(SRAM)等)。The CPU 86, which is capable of controlling the operation of the system-on-chip 80 at the highest level, can control the operation of the other functional blocks 82 to 85 and 87 to 89. The modem 82 may demodulate signals received from outside the system-on-chip 80 or modulate signals generated inside the system-on-chip 80 to transmit signals to the outside. The external memory controller 85 can control operations of transmitting and receiving data from an external memory device connected to the system-on-chip 80 . For example, programs and/or data stored in the external memory device may be provided to the CPU 86 or the GPU 89 under the control of the external memory controller 85. The GPU 89 can execute program instructions related to graphics processing. The GPU 89 may receive graphics data through the external memory controller 85 , or may transmit graphics data processed by the GPU 89 to the outside of the system-on-chip 80 through the external memory controller 85 . The transaction unit 87 can monitor the data transactions of each functional block, and the PMIC 88 can control the power supplied to each functional block under the control of the transaction unit 87 . The display controller 83 may send data generated within the system on chip 80 to the display by controlling a display (or display device) external to the system on chip 80 . Memory 84 may include non-volatile memory (e.g., electrically erasable programmable read-only memory (EEPROM), flash memory, etc.), or may include volatile memory (e.g., dynamic random access memory (DRAM), static random access memory, etc.). Access memory (SRAM, etc.).
图9是示出了根据示例实施例的包括用于存储程序的存储器的计算系统90的框图。可以在计算系统(或计算机)90中执行根据示例实施例的设计集成电路的方法,例如,上述流程图的至少一些操作。Figure 9 is a block diagram illustrating a computing system 90 including memory for storing programs, according to an example embodiment. A method of designing an integrated circuit according to example embodiments, such as at least some operations of the above-described flowchart, may be performed in a computing system (or computer) 90 .
计算系统90可以是固定计算系统(例如,台式计算机、工作站、服务器等),或者可以是便携式计算系统(例如,膝上型计算机等)。如图9中所示,计算系统90可以包括处理器91、输入/输出设备92、网络接口93、随机存取存储器(RAM)94、只读存储器(ROM)95和存储设备96。处理器91、输入/输出设备92、网络接口93、RAM 94、ROM 95和存储设备96可以连接到总线97,并且可以通过总线97彼此通信。Computing system 90 may be a fixed computing system (eg, desktop computer, workstation, server, etc.), or may be a portable computing system (eg, laptop computer, etc.). As shown in Figure 9, computing system 90 may include a processor 91, an input/output device 92, a network interface 93, a random access memory (RAM) 94, a read only memory (ROM) 95, and a storage device 96. The processor 91 , the input/output device 92 , the network interface 93 , the RAM 94 , the ROM 95 and the storage device 96 may be connected to the bus 97 and may communicate with each other through the bus 97 .
处理器91可以被称为处理单元,并且可以包括可以执行任何指令集(例如,因特尔架构-32(IA-32)、64位扩展IA-32、x86-64、PowerPC、Sparc、MIPS、ARM、IA-64等)的至少一个核,例如微处理器、应用处理器(AP)、数字信号处理器(DSP)和图形处理单元。例如,处理器91可以通过总线97访问存储器,即RAM 94或ROM 95,并可以执行RAM 94或ROM 95中存储的指令。Processor 91 may be referred to as a processing unit and may include a processor capable of executing any instruction set (eg, Intel Architecture-32 (IA-32), 64-bit extensions IA-32, x86-64, PowerPC, Sparc, MIPS, ARM, IA-64, etc.), such as a microprocessor, application processor (AP), digital signal processor (DSP), and graphics processing unit. For example, processor 91 can access memory, namely RAM 94 or ROM 95, through bus 97 and can execute instructions stored in RAM 94 or ROM 95.
RAM 94可以存储根据示例实施例的设计集成电路的方法的程序941或其至少一部分,并且程序941可以使处理器91能够执行设计集成电路的方法,例如,图7的方法中包括的至少一些操作。即,程序941可以包括可由处理器91执行的多个指令,并且程序941中包括的多个指令可以使处理器91能够执行例如上述流程图中包括的至少一些操作。The RAM 94 may store the program 941 of the method of designing an integrated circuit according to example embodiments, or at least a part thereof, and the program 941 may enable the processor 91 to perform the method of designing an integrated circuit, for example, at least some operations included in the method of FIG. 7 . That is, the program 941 may include a plurality of instructions executable by the processor 91 , and the plurality of instructions included in the program 941 may enable the processor 91 to perform, for example, at least some operations included in the flowcharts described above.
即使向计算系统90供应的电力被切断,存储设备96也可以不丢失所存储的数据。例如,存储设备96可以包括非易失性存储器件,或者可以包括诸如磁带、光盘和磁盘之类的存储介质。此外,存储设备96可以是可从计算系统90拆卸的。存储设备96可以存储根据示例实施例的程序941,并且在程序941被处理器91执行之前,程序941或其至少一部分可以从存储设备96加载到RAM 94中。备选地,存储设备96可以存储以程序语言编写的文件,并且通过编译器等从该文件生成的程序941或其至少一部分可以被加载到RAM 94中。另外,如图9中所示,存储设备96可以存储数据库(DB)961,并且数据库961可以包括设计集成电路所需的信息,例如关于所设计的块、图7的单元库D 1 2和/或设计规则D14的信息。Even if the power supply to computing system 90 is cut off, storage device 96 may not lose stored data. For example, storage device 96 may include a non-volatile storage device, or may include storage media such as magnetic tape, optical disks, and magnetic disks. Additionally, storage device 96 may be removable from computing system 90 . The storage device 96 may store a program 941 according to an example embodiment, and the program 941 or at least a portion thereof may be loaded from the storage device 96 into the RAM 94 before the program 941 is executed by the processor 91 . Alternatively, the storage device 96 may store a file written in a programming language, and the program 941 generated from the file by a compiler or the like or at least a part thereof may be loaded into the RAM 94 . In addition, as shown in FIG. 9 , the storage device 96 may store a database (DB) 961 , and the database 961 may include information required to design the integrated circuit, for example, regarding the designed blocks, the cell library D 1 2 of FIG. 7 and/or or information from Design Rule D14.
存储设备96也可以存储要由处理器91处理的数据或由处理器91处理过的数据。即,处理器91可以通过根据程序941处理存储设备96中存储的数据来生成数据,并且可以使存储设备96能够存储所生成的数据。例如,存储设备96可以存储图7的RTL数据D11、网表D13和/或布局数据D15。Storage device 96 may also store data to be processed by processor 91 or data processed by processor 91. That is, the processor 91 may generate data by processing data stored in the storage device 96 according to the program 941, and may enable the storage device 96 to store the generated data. For example, the storage device 96 may store the RTL data D11, netlist D13, and/or layout data D15 of FIG. 7 .
输入/输出设备92可以包括诸如键盘和指向设备之类的输入设备,并且可以包括诸如显示设备和打印机之类的输出设备。例如,用户可以通过输入/输出设备92触发处理器91执行程序941,可以输入图7的RTL数据D11和/或网表D13,并且可以检查图7的布局数据D15。Input/output devices 92 may include input devices such as keyboards and pointing devices, and may include output devices such as display devices and printers. For example, the user can trigger the processor 91 to execute the program 941 through the input/output device 92, can input the RTL data D11 and/or the netlist D13 of Figure 7, and can check the layout data D15 of Figure 7.
网络接口93可以提供对计算系统90外部的网络的访问。例如,网络可以包括多个计算系统和通信链路,并且通信链路可以包括有线链路、光链路、无线链路或任何其他形式的链路。Network interface 93 may provide access to networks external to computing system 90 . For example, a network may include multiple computing systems and communication links, and the communication links may include wired links, optical links, wireless links, or any other form of link.
将理解,尽管术语“第一”、“第二”和其他术语可以在本文中用于描述各种元件,但这些元件不应被这些术语限制。这些术语仅用来将元件彼此区分。例如,在不脱离本公开的教导的情况下,第一元件可以被称为第二元件,并且类似地,第二元件可以被称为第一元件。如本文中所使用的,术语“和/或”包括关联列出项目中的一个或更多个项目的任意和所有组合。It will be understood that, although the terms "first," "second," and other terms may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish elements from each other. For example, a first element could be termed a second element, and similarly, a second element could be termed a first element, without departing from the teachings of the present disclosure. As used herein, the term "and/or" includes any and all combinations of one or more of the associated listed items.
尽管已经参考本发明构思的示例实施例具体示出并描述了本发明构思,但将理解,在不脱离本发明构思的范围的情况下,可以在其中进行形式和细节上的各种改变。以上公开的主题应被视为示例性的而非限制性的,并且所附权利要求意在覆盖落入本发明构思的范围之内的所有这种修改、改进和其他实施例。Although the inventive concept has been specifically shown and described with reference to example embodiments of the inventive concept, it will be understood that various changes in form and details may be made therein without departing from the scope of the inventive concept. The subject matter disclosed above is to be regarded as illustrative rather than restrictive, and the appended claims are intended to cover all such modifications, improvements and other embodiments which fall within the scope of the inventive concept.
Claims (20)
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR10-2022-0030331 | 2022-03-10 | ||
KR10-2022-0076380 | 2022-06-22 | ||
KR1020220076380A KR20230133163A (en) | 2022-03-10 | 2022-06-22 | Integrated circuit including active pattern having variable width and method for designing the same |
Publications (1)
Publication Number | Publication Date |
---|---|
CN116741777A true CN116741777A (en) | 2023-09-12 |
Family
ID=87900012
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202310190812.6A Pending CN116741777A (en) | 2022-03-10 | 2023-03-01 | Integrated circuit including active pattern having variable width and design method thereof |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN116741777A (en) |
-
2023
- 2023-03-01 CN CN202310190812.6A patent/CN116741777A/en active Pending
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11727184B2 (en) | Integrated circuit including cells of different heights and method of designing the integrated circuit | |
US11101267B2 (en) | Integrated circuit including multiple-height cell and method of manufacturing the integrated circuit | |
US20220114320A1 (en) | Integrated circuit including asymmetric power line and method of designing the same | |
CN118507479A (en) | Integrated circuit including standard cells | |
US20230290784A1 (en) | Integrated circuit including active pattern having variable width and method of designing the same | |
US20230290779A1 (en) | Integrated circuits having heterogeneous devices therein and methods of designing the same | |
US20220262785A1 (en) | Integrated circuit including signal line and power line and method of designing the same | |
US12230625B2 (en) | Integrated circuit including standard cell and filler cell | |
US20230378156A1 (en) | Integrated circuit including multi-height cells and method of designing the same | |
CN117790467A (en) | Integrated circuits including standard cells | |
CN116776806A (en) | Integrated circuit including contiguous blocks and method of designing layout of integrated circuit | |
CN116741777A (en) | Integrated circuit including active pattern having variable width and design method thereof | |
TW202247027A (en) | Integrated circuit providing increased pin access points and method of designing the same | |
US20240169137A1 (en) | Integrated circuit including standard cells and method of designing the same | |
US20250098295A1 (en) | Integrated circuits including active patterns with various widths and methods of designing the integrated circuits | |
US20250239524A1 (en) | Integrated circuit providing power gating and method of designing the same | |
KR20230133163A (en) | Integrated circuit including active pattern having variable width and method for designing the same | |
US20240128164A1 (en) | Integrated circuit including through-silicon via and method of designing the integrated circuit | |
US20240243117A1 (en) | Integrated circuit including standard cells and method of designing the same | |
US20240055431A1 (en) | Multi-threshold integrated circuit and method of designing the same | |
US20240290692A1 (en) | Iintegrated circuit including backside wiring and method of manufacturing the integrated circuit | |
US20250096138A1 (en) | Integrated circuit including complementary field effect transistor | |
TW202523145A (en) | Integrated circuit and method of manufacturing the same | |
CN119967910A (en) | Integrated circuit and method of manufacturing the same | |
CN118057611A (en) | Integrated circuit comprising standard cells and method for manufacturing such an integrated circuit |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |