[go: up one dir, main page]

CN114725108A - Semiconductor structure and preparation method thereof - Google Patents

Semiconductor structure and preparation method thereof Download PDF

Info

Publication number
CN114725108A
CN114725108A CN202210468131.7A CN202210468131A CN114725108A CN 114725108 A CN114725108 A CN 114725108A CN 202210468131 A CN202210468131 A CN 202210468131A CN 114725108 A CN114725108 A CN 114725108A
Authority
CN
China
Prior art keywords
layer
trench
dielectric layer
substrate
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210468131.7A
Other languages
Chinese (zh)
Inventor
崔兆培
宋影
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN202210468131.7A priority Critical patent/CN114725108A/en
Publication of CN114725108A publication Critical patent/CN114725108A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/34DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明涉及一种半导体结构及其制备方法。半导体结构的制备方法包括:提供衬底;于衬底中形成沟槽,沟槽的底部和侧壁被介质层覆盖;于介质层中形成牺牲层;形成导电层,导电层的上表面低于衬底的上表面;去除牺牲层,以于导电层相对的两侧形成空气侧墙;形成绝缘保护层,绝缘保护层覆盖导电层的上表面和空气侧墙的顶部。上述半导体结构的制备方法,通过在沟槽中形成高度较大的导电层,可以在字线结构线宽保持不变的情况下,增大导电层的横截面积,从而大幅减小字线电阻,提高字线导通电流,提高晶体管的响应速度;此外,通过在导电层相对的两侧形成空气侧墙,可以降低栅极与漏极之间的电场,减小GIDL,降低晶体管的晶体功耗,提高晶体管的可靠性。

Figure 202210468131

The present invention relates to a semiconductor structure and a preparation method thereof. The preparation method of the semiconductor structure includes: providing a substrate; forming a trench in the substrate, and the bottom and sidewalls of the trench are covered by a dielectric layer; forming a sacrificial layer in the dielectric layer; forming a conductive layer, and the upper surface of the conductive layer is lower than The upper surface of the substrate; the sacrificial layer is removed to form air spacers on opposite sides of the conductive layer; an insulating protective layer is formed, and the insulating protective layer covers the upper surface of the conductive layer and the top of the air spacers. In the preparation method of the above semiconductor structure, by forming a conductive layer with a larger height in the trench, the cross-sectional area of the conductive layer can be increased while the line width of the word line structure remains unchanged, thereby greatly reducing the word line resistance. , increase the on-current of the word line and improve the response speed of the transistor; in addition, by forming air spacers on opposite sides of the conductive layer, the electric field between the gate and the drain can be reduced, the GIDL can be reduced, and the crystal power of the transistor can be reduced. consumption and improve the reliability of the transistor.

Figure 202210468131

Description

半导体结构及其制备方法Semiconductor structure and method of making the same

技术领域technical field

本发明涉及半导体制造技术领域,特别是涉及一种半导体结构及其制备方法。The present invention relates to the technical field of semiconductor manufacturing, in particular to a semiconductor structure and a preparation method thereof.

背景技术Background technique

随着DRAM朝向高速度、高集成密度和低功耗的方向发展,DRAM器件结构的尺寸愈发微缩,尤其是在线宽较小的DRAM器件制造过程中,对字线的材质、形貌、尺寸以及电性等各方面有了更高的要求。With the development of DRAM towards high speed, high integration density and low power consumption, the size of the DRAM device structure is getting smaller and smaller, especially in the process of manufacturing DRAM devices with smaller line width, the material, shape, size of the word line As well as electrical properties and other aspects have higher requirements.

字线结构的关键尺寸不断缩小,但是对晶体管的电学性能要求并没有下降,这就容易在晶体管中产生较大的栅诱导漏极泄漏电流(GIDL),严重影响晶体管的可靠性。同时,字线的电阻与半导体器件的响应速度有着密切关联,随着字线线宽的进一步缩小,字线的电阻急剧增大,降低了半导体器件的响应速度。The critical dimension of the word line structure is constantly shrinking, but the requirements for the electrical performance of the transistor have not decreased, which is prone to generate a large gate-induced drain leakage current (GIDL) in the transistor, which seriously affects the reliability of the transistor. At the same time, the resistance of the word line is closely related to the response speed of the semiconductor device. With the further reduction of the width of the word line, the resistance of the word line increases sharply, which reduces the response speed of the semiconductor device.

发明内容SUMMARY OF THE INVENTION

基于此,有必要针对字线结构微缩导致字线电阻增大、GIDL电流变大等问题,提供一种半导体结构及其制备方法,以降低字线结构的电阻、减小GIDL电流,提高器件可靠性和响应速度。Based on this, it is necessary to provide a semiconductor structure and a preparation method for the problems of increased word line resistance and GIDL current caused by the shrinking of the word line structure, so as to reduce the resistance of the word line structure, reduce the GIDL current, and improve the reliability of the device. performance and responsiveness.

本申请的一个实施例公开了一种半导体结构,包括:衬底,所述衬底中具有沟槽,所述沟槽包括靠近所述衬底表面的第一沟槽和远离所述衬底表面的第二沟槽,所述第一沟槽的宽度大于所述第二沟槽的宽度;介质层,覆盖所述沟槽的底部和侧壁;导电层,位于所述沟槽中,所述导电层的上表面低于所述衬底的上表面;空气侧墙,位于所述第一沟槽,且位于所述导电层相对的两侧;绝缘保护层,覆盖所述导电层的上表面和所述空气侧墙的顶部。An embodiment of the present application discloses a semiconductor structure, comprising: a substrate having a trench therein, the trench including a first trench close to a surface of the substrate and a first trench away from the surface of the substrate the width of the first trench is greater than the width of the second trench; a dielectric layer covers the bottom and sidewalls of the trench; a conductive layer is located in the trench, the The upper surface of the conductive layer is lower than the upper surface of the substrate; the air spacers are located in the first trench and are located on opposite sides of the conductive layer; an insulating protective layer covers the upper surface of the conductive layer and the top of the air side wall.

上述半导体结构,导电层两侧设置有空气侧墙,将其应用于晶体管结构中,可以减小栅极与漏极之间的电场,从而减小GIDL泄露电流,降低晶体管的静态功耗,延长了器件寿命,提高了晶体管的可靠性。In the above semiconductor structure, air spacers are arranged on both sides of the conductive layer, and when they are applied to the transistor structure, the electric field between the gate and the drain can be reduced, thereby reducing the GIDL leakage current, reducing the static power consumption of the transistor, and prolonging the The device life is increased and the reliability of the transistor is improved.

在其中一个实施例中,所述导电层的上表面与所述第一沟槽的中部或中上部齐平。In one embodiment, the upper surface of the conductive layer is flush with the middle or upper middle portion of the first trench.

上述半导体结构,通过形成高度较大的导电层,可以在字线线宽不变的情况下减小字线电阻,提高字线导通电流,提高半导体器件的响应速度。In the above-mentioned semiconductor structure, by forming a conductive layer with a larger height, the resistance of the word line can be reduced, the conduction current of the word line can be increased, and the response speed of the semiconductor device can be improved under the condition that the line width of the word line remains unchanged.

在其中一个实施例中,所述导电层包括金属层和包覆所述金属层底部和侧壁的金属阻挡层。In one embodiment, the conductive layer includes a metal layer and a metal barrier layer covering the bottom and sidewalls of the metal layer.

在其中一个实施例中,空气侧墙位于所述导电层的侧壁和所述介质层之间,且所述空气侧墙的顶部与所述导电层的上表面齐平。In one embodiment, the air spacer is located between the side wall of the conductive layer and the dielectric layer, and the top of the air spacer is flush with the upper surface of the conductive layer.

在其中一个实施例中,所述空气侧墙为由所述导电层、所述绝缘保护层和所述介质层封闭形成的空间。In one embodiment, the air spacer is a space enclosed and formed by the conductive layer, the insulating protective layer and the dielectric layer.

一种半导体结构的制备方法,包括:提供衬底;于所述衬底中形成沟槽,所述沟槽的底部和侧壁被介质层覆盖;于所述介质层中形成牺牲层;形成导电层,所述导电层的上表面低于所述衬底的上表面;去除所述牺牲层,以于所述导电层相对的两侧形成空气侧墙;形成绝缘保护层,所述绝缘保护层覆盖所述导电层的上表面和所述空气侧墙的顶部。A method for preparing a semiconductor structure, comprising: providing a substrate; forming a trench in the substrate, the bottom and sidewalls of the trench being covered by a dielectric layer; forming a sacrificial layer in the dielectric layer; forming a conductive layer layer, the upper surface of the conductive layer is lower than the upper surface of the substrate; the sacrificial layer is removed to form air spacers on opposite sides of the conductive layer; an insulating protective layer is formed, the insulating protective layer Cover the upper surface of the conductive layer and the top of the air spacer.

上述半导体结构的制备方法,通过在沟槽中形成高度较大的导电层,可以在字线结构线宽保持不变的情况下,增大导电层的横截面积,从而大幅减小字线电阻,提高字线导通电流,提高晶体管的响应速度;此外,通过在导电层相对的两侧形成空气侧墙,可以降低栅极与漏极之间的电场,减小GIDL,降低晶体管的晶体功耗,提高晶体管的可靠性。In the preparation method of the above semiconductor structure, by forming a conductive layer with a larger height in the trench, the cross-sectional area of the conductive layer can be increased under the condition that the line width of the word line structure remains unchanged, thereby greatly reducing the word line resistance. , increase the on-current of the word line and improve the response speed of the transistor; in addition, by forming air spacers on opposite sides of the conductive layer, the electric field between the gate and the drain can be reduced, the GIDL can be reduced, and the crystal power of the transistor can be reduced. consumption and improve the reliability of the transistor.

在其中一个实施例中,所述介质层包括第一介质层和第二介质层;所述于所述衬底中形成沟槽,所述沟槽的底部和侧壁被介质层覆盖,包括:于所述衬底中形成第一沟槽,所述第一沟槽具有第一宽度;于所述第一沟槽的底部和侧壁形成所述第一介质层;去除所述第一沟槽底部的所述第一介质层,并于所述第一沟槽的底部形成第二沟槽,所述第二沟槽具有第二宽度,所述第二宽度小于所述第一宽度;形成所述第二介质层,所述第二介质层覆盖所述第二沟槽的底部和侧壁,以及所述第一介质层的侧壁。In one embodiment, the dielectric layer includes a first dielectric layer and a second dielectric layer; the trench is formed in the substrate, and the bottom and sidewalls of the trench are covered by the dielectric layer, including: forming a first trench in the substrate, the first trench having a first width; forming the first dielectric layer on the bottom and sidewalls of the first trench; removing the first trench the first dielectric layer at the bottom, and a second trench is formed at the bottom of the first trench, the second trench has a second width, and the second width is smaller than the first width; forming the The second dielectric layer covers the bottom and sidewalls of the second trench and the sidewalls of the first dielectric layer.

在其中一个实施例中,所述第一介质层和所述第二介质层包括氧化硅层。In one embodiment, the first dielectric layer and the second dielectric layer include silicon oxide layers.

在其中一个实施例中,所述第一介质层的厚度包括5nm-15nm;所述第二介质层的厚度包括5nm-15nm。In one embodiment, the thickness of the first dielectric layer includes 5 nm-15 nm; the thickness of the second dielectric layer includes 5 nm-15 nm.

在其中一个实施例中,所述于所述介质层中形成牺牲层,包括:向所述介质层中掺杂第一组分,以得到所述牺牲层;其中,所述牺牲层的厚度小于所述介质层的厚度。In one embodiment, forming the sacrificial layer in the dielectric layer includes: doping the dielectric layer with a first component to obtain the sacrificial layer; wherein the sacrificial layer has a thickness less than the thickness of the dielectric layer.

在其中一个实施例中,所述第一组分包括磷。In one of the embodiments, the first component includes phosphorus.

在其中一个实施例中,所述向所述介质层中掺杂第一组分,包括:向位于所述第一介质层侧壁的所述第二介质层中掺杂所述第一组分,以得到所述牺牲层。In one embodiment, the doping the first component into the dielectric layer includes: doping the second dielectric layer located on the sidewall of the first dielectric layer with the first component , to obtain the sacrificial layer.

在其中一个实施例中,所述牺牲层的厚度等于所述第二介质层的厚度。In one embodiment, the thickness of the sacrificial layer is equal to the thickness of the second dielectric layer.

在其中一个实施例中,所述形成导电层,包括:形成金属阻挡层,所述金属阻挡层覆盖所述牺牲层和所述介质层的表面;形成金属层,所述金属层填满所述沟槽且覆盖所述衬底的上表面;去除所述衬底上表面的所述金属层,并降低所述沟槽中的所述金属层的厚度,使得所述金属层的上表面低于所述衬底的上表面;去除部分所述金属阻挡层,使得所述金属阻挡层的顶部与所述金属层的上表面齐平。In one embodiment, the forming a conductive layer includes: forming a metal barrier layer, the metal barrier layer covering the surfaces of the sacrificial layer and the dielectric layer; forming a metal layer, the metal layer filling the surface of the dielectric layer trenching and covering the upper surface of the substrate; removing the metal layer on the upper surface of the substrate, and reducing the thickness of the metal layer in the trench so that the upper surface of the metal layer is lower than the upper surface of the substrate; removing part of the metal barrier layer so that the top of the metal barrier layer is flush with the upper surface of the metal layer.

在其中一个实施例中,所述金属层的上表面与所述牺牲层的中部或中上部齐平。In one embodiment, the upper surface of the metal layer is flush with the middle or upper middle portion of the sacrificial layer.

在其中一个实施例中,所述去除所述牺牲层,以于所述导电层相对的两侧形成空气侧墙,包括:采用刻蚀工艺去除所述牺牲层,形成所述空气侧墙,所述空气侧墙暴露出所述第一介质层的侧壁、所述第二介质层的顶部以及所述导电层的部分侧壁。In one embodiment, the removing the sacrificial layer to form air spacers on opposite sides of the conductive layer includes: removing the sacrificial layer by an etching process to form the air spacers, The air spacer exposes the sidewall of the first dielectric layer, the top of the second dielectric layer and a part of the sidewall of the conductive layer.

在其中一个实施例中,所述形成绝缘保护层,包括:形成绝缘材料层,所述绝缘材料层覆盖所述空气侧墙的顶部、所述导电层的上表面和所述衬底的上表面;去除所述衬底上表面的所述绝缘材料层,得到所述绝缘保护层;其中,所述绝缘保护层的上表面与所述衬底的上表面齐平。In one embodiment, the forming the insulating protection layer includes: forming an insulating material layer, the insulating material layer covering the top of the air spacer, the upper surface of the conductive layer and the upper surface of the substrate ; removing the insulating material layer on the upper surface of the substrate to obtain the insulating protection layer; wherein, the upper surface of the insulating protection layer is flush with the upper surface of the substrate.

附图说明Description of drawings

为了更清楚地说明本申请实施例的技术方案,下面将对实施例描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本申请的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他实施例的附图。In order to illustrate the technical solutions of the embodiments of the present application more clearly, the following briefly introduces the drawings that are used in the description of the embodiments. Obviously, the drawings in the following description are only some embodiments of the present application. For those of ordinary skill in the art, the drawings of other embodiments can also be obtained according to these drawings without creative effort.

图1为本申请一实施例中半导体结构的制备方法的流程框图;1 is a flowchart of a method for fabricating a semiconductor structure according to an embodiment of the present application;

图2为本申请一实施例中于衬底上形成图形化光阻层后半导体结构的截面结构示意图;2 is a schematic cross-sectional structural diagram of a semiconductor structure after a patterned photoresist layer is formed on a substrate according to an embodiment of the present application;

图3为本申请一实施例中形成第一沟槽后半导体结构的截面结构示意图;3 is a schematic cross-sectional structural diagram of the semiconductor structure after the first trench is formed in an embodiment of the present application;

图4为本申请一实施例中形成第一介质层后半导体结构的截面结构示意图;4 is a schematic cross-sectional structural diagram of a semiconductor structure after forming a first dielectric layer according to an embodiment of the present application;

图5为本申请一实施例中形成第二沟槽后半导体结构的截面结构示意图;5 is a schematic cross-sectional structural diagram of the semiconductor structure after the second trench is formed in an embodiment of the present application;

图6为本申请一实施例中形成第二介质层后半导体结构的截面结构示意图;6 is a schematic cross-sectional structural diagram of a semiconductor structure after forming a second dielectric layer in an embodiment of the present application;

图7为本申请一实施例中于沟槽一侧的介质层中形成牺牲层后半导体结构的截面结构示意图;7 is a schematic cross-sectional structural diagram of a semiconductor structure after a sacrificial layer is formed in the dielectric layer on one side of the trench according to an embodiment of the application;

图8为本申请一实施例中于沟槽另一侧的介质层中形成牺牲层后半导体结构的截面结构示意图;8 is a schematic cross-sectional structural diagram of a semiconductor structure after a sacrificial layer is formed in the dielectric layer on the other side of the trench according to an embodiment of the present application;

图9为本申请一实施例中形成金属阻挡层后半导体结构的截面结构示意图;9 is a schematic cross-sectional structural diagram of a semiconductor structure after forming a metal barrier layer according to an embodiment of the present application;

图10为本申请一实施例中形成金属层后半导体结构的截面结构示意图;10 is a schematic cross-sectional structural diagram of a semiconductor structure after forming a metal layer according to an embodiment of the present application;

图11为本申请一实施例中降低金属阻挡层的高度后半导体结构的截面结构示意图;11 is a schematic cross-sectional structural diagram of the semiconductor structure after the height of the metal barrier layer is reduced according to an embodiment of the present application;

图12为本申请一实施例中形成空气侧墙后半导体结构的截面结构示意图;12 is a schematic cross-sectional structural diagram of a semiconductor structure after forming an air spacer in an embodiment of the present application;

图13为本申请一实施例中形成绝缘保护层后半导体结构的截面结构示意图。FIG. 13 is a schematic cross-sectional structure diagram of the semiconductor structure after the insulating protective layer is formed in an embodiment of the present application.

附图标号说明:Description of reference numbers:

10、衬底;11、图形化光阻层;20、沟槽;21、第一沟槽;22、第二沟槽;30、介质层;31、第一介质层;32、第二介质层;33、牺牲层;40、导电层;41、金属层;42、金属阻挡层;50、空气侧墙;60、绝缘保护层。10, substrate; 11, patterned photoresist layer; 20, trench; 21, first trench; 22, second trench; 30, dielectric layer; 31, first dielectric layer; 32, second dielectric layer 33, sacrificial layer; 40, conductive layer; 41, metal layer; 42, metal barrier layer; 50, air sidewall; 60, insulating protective layer.

具体实施方式Detailed ways

为了便于理解本发明,下面将参照相关附图对本发明进行更全面的描述。附图中给出了本发明的较佳的实施例。但是,本发明可以以许多不同的形式来实现,并不限于本文所描述的实施例。相反地,提供这些实施例的目的是使对本发明的公开内容的理解更加透彻全面。In order to facilitate understanding of the present invention, the present invention will be described more fully hereinafter with reference to the related drawings. Preferred embodiments of the invention are shown in the accompanying drawings. However, the present invention may be embodied in many different forms and is not limited to the embodiments described herein. Rather, these embodiments are provided so that a thorough and complete understanding of the present disclosure is provided.

除非另有定义,本文所使用的所有的技术和科学术语与属于本发明的技术领域的技术人员通常理解的含义相同。本文中在本发明的说明书中所使用的术语只是为了描述具体的实施例的目的,不是旨在于限制本发明。本文所使用的术语“及/或”包括一个或多个相关的所列项目的任意的和所有的组合。Unless otherwise defined, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. The terms used herein in the description of the present invention are for the purpose of describing specific embodiments only, and are not intended to limit the present invention. As used herein, the term "and/or" includes any and all combinations of one or more of the associated listed items.

在描述位置关系时,除非另有规定,否则当一元件例如层、膜或基板被指为在另一膜层“上”时,其能直接在其他膜层上或亦可存在中间膜层。进一步说,当层被指为在另一层“下”时,其可直接在下方,亦可存在一或多个中间层。亦可以理解的是,当层被指为在两层“之间”时,其可为两层之间的唯一层,或亦可存在一或多个中间层。In describing positional relationships, when an element such as a layer, film or substrate is referred to as being "on" another layer, it can be directly on the other layer or intervening layers may also be present, unless otherwise specified. Further, when a layer is referred to as being "under" another layer, it can be directly under, and one or more intervening layers may also be present. It will also be understood that when a layer is referred to as being "between" two layers, it can be the only layer between the two layers, or one or more intervening layers may also be present.

在使用本文中描述的“包括”、“具有”、和“包含”的情况下,除非使用了明确的限定用语,例如“仅”、“由……组成”等,否则还可以添加另一部件。除非相反地提及,否则单数形式的术语可以包括复数形式,并不能理解为其数量为一个。Where "including", "having", and "comprising" are used as described herein, unless an explicit qualifying language is used, such as "only", "consisting of," etc., another component may also be added . Unless mentioned to the contrary, terms in the singular may include the plural and should not be construed as having a number of one.

随着DRAM朝向高速度、高集成密度和低功耗的方向发展,DRAM器件结构的尺寸愈发微缩,尤其是在线宽较小的DRAM器件制造过程中,对字线的材质、形貌、尺寸以及电性等各方面有了更高的要求。字线结构的关键尺寸不断缩小,但是电学性能的要求并没有下降,这就容易在晶体管中产生较大的栅诱导漏极泄漏电流(GIDL),严重影响晶体管的可靠性。同时,字线的电阻与半导体器件的响应速度有着密切关联,随着字线线宽的进一步缩小,字线的电阻急剧增大,降低了半导体器件的响应速度。With the development of DRAM towards high speed, high integration density and low power consumption, the size of the DRAM device structure is getting smaller and smaller, especially in the process of manufacturing DRAM devices with smaller line width, the material, shape, size of the word line As well as electrical properties and other aspects have higher requirements. The critical dimension of the word line structure is continuously reduced, but the requirements for electrical performance have not decreased, which is prone to generate a large gate-induced drain leakage current (GIDL) in the transistor, which seriously affects the reliability of the transistor. At the same time, the resistance of the word line is closely related to the response speed of the semiconductor device. With the further reduction of the width of the word line, the resistance of the word line increases sharply, which reduces the response speed of the semiconductor device.

为了解决上述问题,本申请的一个实施例公开了一种半导体结构的制备方法,如图1所示,包括:In order to solve the above problems, an embodiment of the present application discloses a method for preparing a semiconductor structure, as shown in FIG. 1 , including:

S10:提供衬底;S10: provide a substrate;

S20:于衬底中形成沟槽,沟槽的底部和侧壁被介质层覆盖;S20: a trench is formed in the substrate, and the bottom and sidewalls of the trench are covered by a dielectric layer;

S30:于介质层中形成牺牲层;S30: forming a sacrificial layer in the dielectric layer;

S40:形成导电层,导电层的上表面低于衬底的上表面;S40: forming a conductive layer, and the upper surface of the conductive layer is lower than the upper surface of the substrate;

S50:去除牺牲层,以于导电层相对的两侧形成空气侧墙;S50: removing the sacrificial layer to form air spacers on opposite sides of the conductive layer;

S60:形成绝缘保护层,绝缘保护层覆盖导电层的上表面和空气侧墙的顶部。S60: forming an insulating protective layer covering the upper surface of the conductive layer and the top of the air sidewall.

上述半导体结构的制备方法,通过在介质层和导电层之间形成空气侧墙,可以减小栅极和漏极之间的电场,有效改善器件的GIDL效应,减小器件处于关闭状态时的漏电流,降低静态功耗,延长器件寿命。In the preparation method of the above semiconductor structure, by forming an air spacer between the dielectric layer and the conductive layer, the electric field between the gate and the drain can be reduced, the GIDL effect of the device can be effectively improved, and the leakage of the device when the device is in an off state can be reduced. current, reducing static power consumption and extending device life.

示例地,上述半导体结构的制备方法可以用于字线结构的制备,例如埋入式字线结构。具体地,衬底10可以包括但不限于硅衬底或绝缘体上硅衬底。在步骤S20中,示例地,沟槽20包括靠近衬底10表面的第一沟槽21和远离衬底10表面的第二沟槽22,介质层30包括第一介质层31和第二介质层32。请参考图2至图6,形成沟槽20和介质层30的具体步骤包括:Illustratively, the above-described method for fabricating a semiconductor structure may be used for fabricating a wordline structure, such as a buried wordline structure. Specifically, the substrate 10 may include, but is not limited to, a silicon substrate or a silicon-on-insulator substrate. In step S20, for example, the trench 20 includes a first trench 21 close to the surface of the substrate 10 and a second trench 22 away from the surface of the substrate 10, and the dielectric layer 30 includes a first dielectric layer 31 and a second dielectric layer 32. Please refer to FIG. 2 to FIG. 6 , the specific steps of forming the trench 20 and the dielectric layer 30 include:

S21:于衬底10中形成第一沟槽21,第一沟槽21具有第一宽度。S21 : forming a first trench 21 in the substrate 10 , and the first trench 21 has a first width.

示例地,如图2所示,可以先在衬底10的上表面形成图形化光阻层11,利用图形化光阻层11中的图案定义出第一沟槽21的位置和线宽。第一沟槽21具有第一宽度,示例地,第一宽度可以是30nm至100nm,例如30nm、50nm、70nm或100nm。For example, as shown in FIG. 2 , a patterned photoresist layer 11 may be formed on the upper surface of the substrate 10 first, and the pattern in the patterned photoresist layer 11 is used to define the position and line width of the first trenches 21 . The first trench 21 has a first width, for example, the first width may be 30 nm to 100 nm, such as 30 nm, 50 nm, 70 nm or 100 nm.

可选地,在一些实施例中,还可以在图形化光阻层11和衬底10之间形成硬掩膜层,以提高图形转移的质量和精度。Optionally, in some embodiments, a hard mask layer may also be formed between the patterned photoresist layer 11 and the substrate 10 to improve the quality and precision of pattern transfer.

示例地,如图3所示,采用刻蚀工艺在衬底10中形成第一沟槽21。作为示例,可以利用BCl3气体和Cl气体对衬底10进行刻蚀,刻蚀工艺所采用的射频偏压功率可以为100瓦至700瓦,例如100瓦、300瓦、500瓦或700瓦;刻蚀压力可以为5毫托至20毫托,例如5毫托、10毫托、15毫托或20毫托;刻蚀温度可以为20摄氏度至100摄氏度,例如20摄氏度、50摄氏度、70摄氏度或100摄氏度。作为示例,第一沟槽21的深度可以为50nm至100nm,例如50nm、70nm或100nm。For example, as shown in FIG. 3 , an etching process is used to form the first trench 21 in the substrate 10 . As an example, the substrate 10 may be etched by using BCl3 gas and Cl gas, and the RF bias power used in the etching process may be 100 watts to 700 watts, such as 100 watts, 300 watts, 500 watts or 700 watts; The etching pressure may be 5 mtorr to 20 mtorr, such as 5 mtorr, 10 mtorr, 15 mtorr or 20 mtorr; 100 degrees Celsius. As an example, the depth of the first trench 21 may be 50 nm to 100 nm, such as 50 nm, 70 nm or 100 nm.

可选地,在一些实施例中,形成第一沟槽21之后,还可以采用清洗工艺去除残留在第一沟槽21表面的副产品或杂质粒子。示例地,清洗工艺可以包括但不限于SPM清洗工艺,执行清洗工艺的环境温度可以为25摄氏度至50摄氏度,例如25摄氏度、30摄氏度、40摄氏度或50摄氏度。Optionally, in some embodiments, after the first trench 21 is formed, a cleaning process may also be used to remove by-products or impurity particles remaining on the surface of the first trench 21 . For example, the cleaning process may include, but is not limited to, an SPM cleaning process, and the ambient temperature for performing the cleaning process may be 25 degrees Celsius to 50 degrees Celsius, such as 25 degrees Celsius, 30 degrees Celsius, 40 degrees Celsius, or 50 degrees Celsius.

可选地,在一些实施例中,还可以采用自对准双重图案工艺(self-aligneddouble patterning,SADP)或自对准四重图案工艺(Self-Aligned QuadruplePatterning,SAQP)于衬底10中形成第一沟槽21。Optionally, in some embodiments, a self-aligned double patterning process (SADP) or a self-aligned quadruple patterning process (SAQP) may also be used to form the first pattern in the substrate 10 . A groove 21 .

S22:于第一沟槽21的底部和侧壁形成第一介质层31,如图4所示。S22 : forming a first dielectric layer 31 on the bottom and sidewalls of the first trench 21 , as shown in FIG. 4 .

示例地,可以采用原位水汽生长工艺、原子层沉积工艺或上述两种工艺的结合,于第一沟槽21中沉积形成第一介质层31,第一介质层31覆盖第一沟槽21的底部和侧壁。作为示例,第一介质层31可以为介电常数较高的材料层,例如二氧化硅层。第一介质层31的厚度可以为5nm至15nm,例如5nm、7nm、10nm或15nm。第一介质层31的上表面与衬底10的上表面齐平。For example, an in-situ water vapor growth process, an atomic layer deposition process or a combination of the above two processes may be used to deposit the first dielectric layer 31 in the first trench 21 , and the first dielectric layer 31 covers the first trench 21 . Bottom and side walls. As an example, the first dielectric layer 31 may be a material layer with a relatively high dielectric constant, such as a silicon dioxide layer. The thickness of the first dielectric layer 31 may be 5 nm to 15 nm, for example, 5 nm, 7 nm, 10 nm or 15 nm. The upper surface of the first dielectric layer 31 is flush with the upper surface of the substrate 10 .

S23:去除第一沟槽21底部的第一介质层31,并于第一沟槽21的底部形成第二沟槽22,第二沟槽22具有第二宽度,第二宽度小于第一宽度,如图5所示。S23: removing the first dielectric layer 31 at the bottom of the first trench 21, and forming a second trench 22 at the bottom of the first trench 21, the second trench 22 has a second width, and the second width is smaller than the first width, As shown in Figure 5.

示例地,可以采用定向刻蚀工艺先将第一沟槽21底部的第一介质层31去除,例如可以采用各向异性等离子体刻蚀工艺,沿竖直方向刻蚀第一介质层31,暴露出第一沟槽21的底部。然后,以残留的第一介质层31和图形化光阻层11为掩膜层,继续对衬底10进行刻蚀,于第一沟槽21的底部形成具有第二宽度的第二沟槽22,第二宽度小于第一宽度。作为示例,第二宽度可以是20nm至70nm,例如20nm、30nm、50nm或70nm。刻蚀形成第二沟槽22的工艺参数可以参考步骤S21中形成第一沟槽21的工艺参数,在此不再赘述。For example, a directional etching process may be used to first remove the first dielectric layer 31 at the bottom of the first trench 21, for example, an anisotropic plasma etching process may be used to etch the first dielectric layer 31 in the vertical direction, exposing the The bottom of the first trench 21 is removed. Then, using the remaining first dielectric layer 31 and the patterned photoresist layer 11 as mask layers, the substrate 10 is continuously etched, and a second trench 22 with a second width is formed at the bottom of the first trench 21 , the second width is smaller than the first width. As an example, the second width may be 20 nm to 70 nm, such as 20 nm, 30 nm, 50 nm or 70 nm. For the process parameters for forming the second trench 22 by etching, reference may be made to the process parameters for forming the first trench 21 in step S21 , which will not be repeated here.

S24:形成第二介质层32,第二介质层32覆盖第二沟槽22的底部和侧壁,以及第一介质层31的侧壁,如图6所示。S24 : forming a second dielectric layer 32 covering the bottom and sidewalls of the second trenches 22 and the sidewalls of the first dielectric layer 31 , as shown in FIG. 6 .

示例地,第二介质层32与第一介质层31的材质可以相同,例如均为二氧化硅层。形成第二介质层32的工艺包括原位水汽生成工艺和原子层沉积工艺。第二介质层32的厚度为5nm至15nm,例如5nm、7nm、10nm或15nm。如图6所示,第二介质层32的上表面与衬底10的上表面齐平,第一介质层31和第二介质共同组成介质层30,覆盖沟槽20的底部和侧壁。For example, the materials of the second dielectric layer 32 and the first dielectric layer 31 may be the same, for example, both are silicon dioxide layers. The process of forming the second dielectric layer 32 includes an in-situ water vapor generation process and an atomic layer deposition process. The thickness of the second dielectric layer 32 is 5 nm to 15 nm, such as 5 nm, 7 nm, 10 nm or 15 nm. As shown in FIG. 6 , the upper surface of the second dielectric layer 32 is flush with the upper surface of the substrate 10 . The first dielectric layer 31 and the second dielectric together form the dielectric layer 30 , covering the bottom and sidewalls of the trench 20 .

在步骤S30中,可以向介质层30中掺杂第一组分,以得到牺牲层33;其中,牺牲层33的厚度小于介质层30的厚度,如图7和图8所示。In step S30 , the dielectric layer 30 may be doped with the first component to obtain a sacrificial layer 33 ; wherein the thickness of the sacrificial layer 33 is smaller than that of the dielectric layer 30 , as shown in FIGS. 7 and 8 .

示例地,第一组分包括磷离子,可以采用倾角磷离子注入的方法,向介质层30中注入磷离子,将形成的磷离子注入层作为牺牲层33。作为示例,注入剂量可以为1011~1012/cm2,注入能量可以为5keV~25keV,注入深度可以为5nm~15nm。离子注入的深度可以根据需要进行调整,从而获得不同厚度的牺牲层33。在图7中,离子注入的角度为垂直方向向左倾斜15°至60°;在图8中,离子注入的角度为垂直方向向右倾斜15°至60°。磷离子注入层与未掺杂磷离子的介质层之间具有不同的刻蚀选择比,可以在后续的刻蚀工艺中有选择性将磷离子注入层去除,保留未掺杂磷离子的介质层30。For example, the first component includes phosphorus ions. Phosphorus ions can be implanted into the dielectric layer 30 by using a method of inclination angle phosphorus ion implantation, and the formed phosphorus ion implantation layer is used as the sacrificial layer 33 . As an example, the implantation dose may be 1011-1012/cm2, the implantation energy may be 5keV-25keV, and the implantation depth may be 5nm-15nm. The depth of ion implantation can be adjusted as required, so as to obtain sacrificial layers 33 with different thicknesses. In FIG. 7 , the angle of ion implantation is 15° to 60° to the left in the vertical direction; in FIG. 8 , the angle of ion implantation is 15° to 60° to the right in the vertical direction. There are different etching selectivity ratios between the phosphorus ion implantation layer and the undoped dielectric layer, so the phosphorus ion implantation layer can be selectively removed in the subsequent etching process, leaving the undoped phosphorus ion dielectric layer 30.

在一些实施例中,可以向位于第一介质层31侧壁的第二介质层32中掺杂第一组分,以得到牺牲层33,如图7和图8所示。其中,牺牲层33的厚度等于第二介质层32的厚度。In some embodiments, the second dielectric layer 32 located on the sidewall of the first dielectric layer 31 may be doped with the first component to obtain the sacrificial layer 33 , as shown in FIG. 7 and FIG. 8 . The thickness of the sacrificial layer 33 is equal to the thickness of the second dielectric layer 32 .

在步骤S40中,请参考图9至图11,形成导电层40的具体步骤包括:In step S40, please refer to FIG. 9 to FIG. 11, the specific steps of forming the conductive layer 40 include:

S41:形成金属阻挡层42,金属阻挡层42覆盖牺牲层33和介质层30的表面,如图9所示。S41: forming a metal barrier layer 42, the metal barrier layer 42 covers the surfaces of the sacrificial layer 33 and the dielectric layer 30, as shown in FIG. 9 .

示例地,金属阻挡层42可以包括但不限于氮化钛层。可以采用物理气相沉积工艺或化学气相沉积工艺于沟槽20中形成一定厚度的氮化钛层,以作为金属阻挡层42。制备氮化钛层所用的材料包括TiCl4、NH3和N2。在一些实施例中,可以采用快速热氮化工艺(rapidthermal Nitridation,RTN)来提高氮化钛层的阻挡性能。作为示例,金属阻挡层42的厚度可以为2nm至10nm,例如2nm、5nm或10nm。For example, the metal barrier layer 42 may include, but is not limited to, a titanium nitride layer. A titanium nitride layer with a certain thickness can be formed in the trench 20 by using a physical vapor deposition process or a chemical vapor deposition process to serve as the metal barrier layer 42 . Materials used to prepare the titanium nitride layer include TiCl4, NH3 and N2. In some embodiments, a rapid thermal nitridation (RTN) process can be used to improve the barrier properties of the titanium nitride layer. As an example, the thickness of the metal barrier layer 42 may be 2 nm to 10 nm, such as 2 nm, 5 nm or 10 nm.

在形成金属阻挡层42之后,去除图案化光阻层,得到如图9所示的结构。After the metal barrier layer 42 is formed, the patterned photoresist layer is removed to obtain the structure shown in FIG. 9 .

S42:形成金属层41,金属层41填满沟槽20且覆盖衬底10的上表面。S42 : forming the metal layer 41 , the metal layer 41 fills the trench 20 and covers the upper surface of the substrate 10 .

示例地,金属层41可以包括但不限于Ge(锗)、W(钨)、Cu(铜)或Au(金)。以钨层为例,可以采用物理气相沉积工艺于沟槽20中形成钨层,钨层填满沟槽20,并覆盖衬底10的上表面。For example, the metal layer 41 may include, but is not limited to, Ge (germanium), W (tungsten), Cu (copper), or Au (gold). Taking the tungsten layer as an example, the tungsten layer can be formed in the trench 20 by using a physical vapor deposition process. The tungsten layer fills the trench 20 and covers the upper surface of the substrate 10 .

S43:去除衬底10上表面的金属层41,并降低沟槽20中的金属层41的厚度,使得金属层41的上表面低于衬底10的上表面,如图10所示。S43: Remove the metal layer 41 on the upper surface of the substrate 10, and reduce the thickness of the metal layer 41 in the trench 20, so that the upper surface of the metal layer 41 is lower than the upper surface of the substrate 10, as shown in FIG. 10 .

示例地,可以采用化学机械研磨工艺(CMP)对金属层41进行平坦化处理,研磨金属层41的上表面,直至金属层41的上表面与衬底10的上表面齐平。在一些实施例中,可以借助终点侦测系统(End point detection)来控制CMP工艺的研磨进程。例如可以将衬底10的上表面作为终点进行侦测,当研磨至衬底10的上表面时,精准地结束CMP工艺,避免CMP工艺对衬底10造成破坏。For example, chemical mechanical polishing (CMP) may be used to planarize the metal layer 41 to grind the upper surface of the metal layer 41 until the upper surface of the metal layer 41 is flush with the upper surface of the substrate 10 . In some embodiments, the polishing progress of the CMP process can be controlled by means of an end point detection system. For example, the upper surface of the substrate 10 may be used as the end point for detection, and when the upper surface of the substrate 10 is ground, the CMP process is accurately ended to avoid damage to the substrate 10 caused by the CMP process.

进一步地,采用刻蚀工艺对沟槽20中的金属层41进行回刻,以降低金属层41的高度,使得金属层41的上表面低于衬底10的上表面。作为示例,金属层41的上表面与衬底10上表面之间的距离为20nm至40nm,例如为20nm、30nm或40nm。Further, the metal layer 41 in the trench 20 is etched back by an etching process to reduce the height of the metal layer 41 so that the upper surface of the metal layer 41 is lower than the upper surface of the substrate 10 . As an example, the distance between the upper surface of the metal layer 41 and the upper surface of the substrate 10 is 20 nm to 40 nm, eg, 20 nm, 30 nm or 40 nm.

S44:去除部分金属阻挡层42,使得金属阻挡层42的顶部与金属层41的上表面齐平,如图11所示。S44: Remove part of the metal barrier layer 42 so that the top of the metal barrier layer 42 is flush with the upper surface of the metal layer 41, as shown in FIG. 11 .

示例地,可以采用SPM清洗工艺对金属阻挡层42(例如为氮化钛层)进行刻蚀,降低金属阻挡层42的高度,使得金属阻挡层42的顶部与金属层41的上表面齐平。执行SPM清洗工艺的温度为25摄氏度至50摄氏度。如图11所示,金属阻挡层42和金属层41共同组成导电层40。For example, the metal barrier layer 42 (eg, a titanium nitride layer) may be etched by an SPM cleaning process to reduce the height of the metal barrier layer 42 so that the top of the metal barrier layer 42 is flush with the upper surface of the metal layer 41 . The temperature at which the SPM cleaning process is performed is 25 degrees Celsius to 50 degrees Celsius. As shown in FIG. 11 , the metal barrier layer 42 and the metal layer 41 together constitute the conductive layer 40 .

如图11所示,导电层40位于沟槽20中,其上表面低于衬底10的上表面,但是高于第二沟槽22。可选地,导电层40的上表面与第一沟槽21的中部齐平,或高于第一沟槽21的中部,例如与第一沟槽21的中上部齐平。As shown in FIG. 11 , the conductive layer 40 is located in the trench 20 , the upper surface of which is lower than the upper surface of the substrate 10 but higher than the second trench 22 . Optionally, the upper surface of the conductive layer 40 is flush with the middle of the first trench 21 , or higher than the middle of the first trench 21 , eg, flush with the middle and upper portion of the first trench 21 .

上述半导体结构的制备方法,通过在沟槽20中形成高度较大的导电层40,可以在字线结构线宽保持不变的情况下,增大导电层40的横截面积,从而大幅减小字线电阻,提高字线导通电流,提高晶体管的响应速度。In the above-mentioned preparation method of the semiconductor structure, by forming the conductive layer 40 with a larger height in the trench 20, the cross-sectional area of the conductive layer 40 can be increased under the condition that the line width of the word line structure remains unchanged, thereby greatly reducing the The word line resistance increases the conduction current of the word line and improves the response speed of the transistor.

在步骤S50中,去除牺牲层33,在导电层40相对的两侧形成空气侧墙50,如图12所示。采用刻蚀工艺去除牺牲层33,形成空气侧墙50,空气侧墙50暴露出第一介质层31的侧壁、第二介质层32的顶部以及导电层40的部分侧壁。In step S50 , the sacrificial layer 33 is removed, and air spacers 50 are formed on opposite sides of the conductive layer 40 , as shown in FIG. 12 . The sacrificial layer 33 is removed by an etching process to form the air spacer 50 , which exposes the sidewall of the first dielectric layer 31 , the top of the second dielectric layer 32 and part of the sidewall of the conductive layer 40 .

由于牺牲层33与未掺杂磷离子的介质层30之间具有不同的刻蚀选择比,因此可以采用刻蚀工艺中有选择性将牺牲层33去除,保留未掺杂磷离子的介质层30。示例地,可以采用湿法刻蚀工艺去除牺牲层33。当牺牲层33的厚度与第二介质层32的厚度相同时,去除牺牲层33后可以得到如图12所示的结果,空气侧墙50暴露出第一介质层31的侧壁、第二介质层32的顶部以及导电层40的部分侧壁。Since the sacrificial layer 33 and the dielectric layer 30 not doped with phosphorus ions have different etching selectivity ratios, the sacrificial layer 33 can be selectively removed in the etching process, leaving the dielectric layer 30 not doped with phosphorus ions. . For example, the sacrificial layer 33 may be removed by a wet etching process. When the thickness of the sacrificial layer 33 is the same as the thickness of the second dielectric layer 32, the result shown in FIG. 12 can be obtained after the sacrificial layer 33 is removed. The air spacer 50 exposes the sidewall of the first dielectric layer 31 and the second dielectric The top of layer 32 and part of the sidewalls of conductive layer 40 .

可选地,在一些其他实施例中,可以根据对空气侧墙50厚度的需求,调整牺牲层33的厚度,以便于在去除牺牲层33之后,于导电层40和介质层30之间形成目标厚度的空气侧墙50。Optionally, in some other embodiments, the thickness of the sacrificial layer 33 can be adjusted according to the requirement of the thickness of the air spacer 50 , so as to form a target between the conductive layer 40 and the dielectric layer 30 after the sacrificial layer 33 is removed Thickness of the air sidewall 50.

在步骤S60中,形成绝缘保护层60,绝缘保护层60覆盖导电层40的上表面和空气侧墙50的顶部,如图13所示。具体步骤包括:In step S60 , an insulating protective layer 60 is formed, and the insulating protective layer 60 covers the upper surface of the conductive layer 40 and the top of the air spacer 50 , as shown in FIG. 13 . Specific steps include:

S61:形成绝缘材料层,绝缘材料层覆盖空气侧墙50的顶部、导电层40的上表面和衬底10的上表面。S61 : forming an insulating material layer covering the top of the air spacer 50 , the upper surface of the conductive layer 40 and the upper surface of the substrate 10 .

示例地,绝缘材料层可以包括但不限于氮化硅层。可采化学气相沉积工艺于导电层40的上表面沉积形成氮化硅层,以覆盖空气侧墙50的顶部、导电层40的上表面和衬底10的上表面。作为示例,制备氮化硅层的原材料包括TiCL4和NH3。For example, the insulating material layer may include, but is not limited to, a silicon nitride layer. A silicon nitride layer may be deposited on the upper surface of the conductive layer 40 by a chemical vapor deposition process to cover the top of the air spacer 50 , the upper surface of the conductive layer 40 and the upper surface of the substrate 10 . As an example, the raw materials for preparing the silicon nitride layer include TiCL4 and NH3.

S62:去除衬底10上表面的绝缘材料层,得到绝缘保护层60;其中,绝缘保护层60的上表面与衬底10的上表面齐平,如图13所示。S62 : removing the insulating material layer on the upper surface of the substrate 10 to obtain an insulating protective layer 60 ; wherein, the upper surface of the insulating protective layer 60 is flush with the upper surface of the substrate 10 , as shown in FIG. 13 .

示例地,可以采用化学机械研磨工艺对绝缘材料层进行研磨和平坦化处理,并以衬底10的上表面作为终点,当检测到研磨至衬底10的上表面时则停止研磨,从而形成与衬底10的上表面相齐平的绝缘保护层60。For example, a chemical mechanical polishing process can be used to grind and planarize the insulating material layer, and the upper surface of the substrate 10 is used as the end point. The upper surface of the substrate 10 is flush with the insulating protective layer 60 .

上述半导体结构的制备方法,通过在介质层30和导电层40之间形成空气侧墙50,可以有效改善器件的GIDL效应,减小器件处于关闭状态时的漏电流,降低静态功耗,延长器件寿命;此外,通过在沟槽20中形成高度较大的导电层40,可以在字线结构线宽保持不变的情况下,增大导电层40的横截面积,从而大幅减小字线电阻,提高字线导通电流,提高晶体管的响应速度In the above preparation method of the semiconductor structure, by forming the air spacer 50 between the dielectric layer 30 and the conductive layer 40, the GIDL effect of the device can be effectively improved, the leakage current of the device when the device is in an off state can be reduced, the static power consumption can be reduced, and the device can be extended. In addition, by forming the conductive layer 40 with a larger height in the trench 20, the cross-sectional area of the conductive layer 40 can be increased while the line width of the word line structure remains unchanged, thereby greatly reducing the word line resistance , to increase the on-current of the word line and improve the response speed of the transistor

如图13所示,本申请的一个实施例还公开了一种半导体结构,包括:衬底10,衬底10中具有沟槽20,沟槽20包括靠近衬底10表面的第一沟槽21和远离衬底10表面的第二沟槽22,第一沟槽21的宽度大于第二沟槽22的宽度;介质层30,覆盖沟槽的底部和侧壁;导电层40,位于沟槽20中,导电层40的上表面低于衬底10的上表面;空气侧墙50,位于第一沟槽21,且位于导电层40相对的两侧;绝缘保护层60,覆盖导电层40的上表面和空气侧墙50的顶部。As shown in FIG. 13 , an embodiment of the present application further discloses a semiconductor structure, including: a substrate 10 , a trench 20 is formed in the substrate 10 , and the trench 20 includes a first trench 21 close to the surface of the substrate 10 . and the second trench 22 away from the surface of the substrate 10, the width of the first trench 21 is greater than the width of the second trench 22; the dielectric layer 30 covers the bottom and sidewalls of the trench; the conductive layer 40 is located in the trench 20 , the upper surface of the conductive layer 40 is lower than the upper surface of the substrate 10 ; the air spacers 50 are located in the first trench 21 and are located on opposite sides of the conductive layer 40 ; the insulating protection layer 60 covers the upper surface of the conductive layer 40 Top of surface and air side walls 50 .

上述半导体结构中,导电层两侧设置有空气侧墙,将其应用于晶体管结构中,可以减小栅极与漏极之间的电场,从而减小GIDL泄露电流,降低晶体管的静态功耗,延长了器件寿命,提高器件可靠性。In the above semiconductor structure, air spacers are arranged on both sides of the conductive layer. When they are applied to the transistor structure, the electric field between the gate and the drain can be reduced, thereby reducing the GIDL leakage current and reducing the static power consumption of the transistor. Extend the life of the device and improve the reliability of the device.

作为示例,衬底10可以包括但不限于硅衬底或绝缘体上硅衬底。沟槽20平行间隔排布于衬底10中。作为示例,沟槽20可以是字线沟槽。沟槽20包括靠近衬底10表面的第一沟槽21和远离衬底10表面的第二沟槽22,介质层30覆盖第一沟槽21的侧壁以及第二沟槽22的底部和侧壁。作为示例,介质层30可以包括但不限于氧化硅层。As an example, the substrate 10 may include, but is not limited to, a silicon substrate or a silicon-on-insulator substrate. The trenches 20 are arranged in the substrate 10 in parallel and spaced apart. As an example, trench 20 may be a word line trench. The trench 20 includes a first trench 21 close to the surface of the substrate 10 and a second trench 22 away from the surface of the substrate 10 . The dielectric layer 30 covers the sidewall of the first trench 21 and the bottom and sides of the second trench 22 wall. As an example, the dielectric layer 30 may include, but is not limited to, a silicon oxide layer.

在一些实施例中,导电层40可以包括金属层41以及包覆金属层41底部和侧壁的金属阻挡层42。示例地,形成金属层41的材料可以包括但不限于Ge(锗)、W(钨)、Cu(铜)或Au(金)。形成金属阻挡层42的材料例如可以是钛层或氮化钛层。金属阻挡层42用于将金属层41与衬底10中的硅层隔开,防止金属层41与硅层相互渗透,对产品性能造成影响。In some embodiments, the conductive layer 40 may include a metal layer 41 and a metal barrier layer 42 covering the bottom and sidewalls of the metal layer 41 . For example, the material for forming the metal layer 41 may include, but is not limited to, Ge (germanium), W (tungsten), Cu (copper), or Au (gold). The material for forming the metal barrier layer 42 may be, for example, a titanium layer or a titanium nitride layer. The metal barrier layer 42 is used to separate the metal layer 41 from the silicon layer in the substrate 10, so as to prevent the metal layer 41 and the silicon layer from infiltrating each other, thereby affecting product performance.

如图13所示,导电层40填满第二沟槽22,并延伸至第一沟槽21,其中,导电层40的上表面低于衬底10的上表面。示例地,导电层40的上表面与第一沟槽21的中上部齐平。可选地,在一些其他实施例中,导电层40的上表面与第一沟槽21的中部齐平。As shown in FIG. 13 , the conductive layer 40 fills the second trench 22 and extends to the first trench 21 , wherein the upper surface of the conductive layer 40 is lower than the upper surface of the substrate 10 . For example, the upper surface of the conductive layer 40 is flush with the middle and upper part of the first trench 21 . Optionally, in some other embodiments, the upper surface of the conductive layer 40 is flush with the middle of the first trench 21 .

上述半导体结构,导电层40至少填满沟槽20的一半以上,在保持线宽不变的情况下,增大了导电层的横截面积,减小了导电电阻,提高了导通电流,提高了器件的响应速度。In the above semiconductor structure, the conductive layer 40 fills at least half of the trench 20. Under the condition of keeping the line width unchanged, the cross-sectional area of the conductive layer is increased, the conductive resistance is reduced, the on-state current is increased, and the the response speed of the device.

在一些实施例中,空气侧墙50位于导电层40的侧壁和介质层30之间,且空气侧墙50的顶部与导电层40的上表面齐平。In some embodiments, the air spacer 50 is located between the sidewall of the conductive layer 40 and the dielectric layer 30 , and the top of the air spacer 50 is flush with the upper surface of the conductive layer 40 .

如图13所示,空气侧墙50位于第一沟槽21中,位于导电层40相对的两侧,将导电层40与介质层30隔开。其中,空气侧墙50为由导电层40、绝缘保护层60和介质层30封闭形成的空间。As shown in FIG. 13 , the air spacers 50 are located in the first trench 21 on opposite sides of the conductive layer 40 to separate the conductive layer 40 from the dielectric layer 30 . The air spacer 50 is a space enclosed and formed by the conductive layer 40 , the insulating protective layer 60 and the dielectric layer 30 .

示例地,上述半导体结构可以为字线结构,例如可以为埋入式字线结构。该字线结构可应用于动态随机存储元件(Dynamic Random Access Memory,DRAM),以提高器件的响应速度,降低静态功耗,延伸DRAM器件的使用寿命和可靠性。For example, the above-mentioned semiconductor structure may be a word line structure, such as a buried word line structure. The word line structure can be applied to a dynamic random access memory (DRAM), so as to improve the response speed of the device, reduce static power consumption, and extend the service life and reliability of the DRAM device.

本申请还公开了一种半导体器件,包括前述任一实施例中的半导体结构。示例地,半导体器件可以包括DRAM器件,或者其他包括晶体管结构的器件。The present application also discloses a semiconductor device including the semiconductor structure in any of the foregoing embodiments. By way of example, semiconductor devices may include DRAM devices, or other devices including transistor structures.

应该理解的是,虽然图1的流程图中的各个步骤按照箭头的指示依次显示,但是这些步骤并不是必然按照箭头指示的顺序依次执行。除非本文中有明确的说明,这些步骤的执行并没有严格的顺序限制,这些步骤可以以其它的顺序执行。而且,图1中的至少一部分步骤可以包括多个步骤或者多个阶段。这些步骤或者阶段并不必然是在同一时刻执行完成,而是可以在不同的时刻执行,这些步骤或者阶段的执行顺序也不必然是依次进行,而是可以与其它步骤或者其它步骤中的步骤或者阶段的至少一部分轮流或者交替地执行。It should be understood that although the various steps in the flowchart of FIG. 1 are shown in sequence according to the arrows, these steps are not necessarily executed in the sequence shown by the arrows. Unless explicitly stated herein, the execution of these steps is not strictly limited to the order, and these steps may be performed in other orders. Also, at least a portion of the steps in FIG. 1 may include multiple steps or multiple stages. These steps or stages are not necessarily executed at the same time, but can be executed at different times, and the execution sequence of these steps or stages is not necessarily carried out sequentially, but can be performed with other steps or steps in other steps or At least a portion of the phases are performed in turn or alternately.

以上所述实施例的各技术特征可以进行任意的组合,为使描述简洁,未对上述实施例中的各个技术特征所有可能的组合都进行描述,然而,只要这些技术特征的组合不存在矛盾,都应当认为是本说明书记载的范围。The technical features of the above-described embodiments can be combined arbitrarily. For the sake of brevity, all possible combinations of the technical features in the above-described embodiments are not described. However, as long as there is no contradiction between the combinations of these technical features, All should be regarded as the scope described in this specification.

以上所述实施例仅表达了本发明的几种实施方式,其描述较为具体和详细,但并不能因此而理解为对发明专利范围的限制。应当指出的是,对于本领域的普通技术人员来说,在不脱离本发明构思的前提下,还可以做出若干变形和改进,这些都属于本发明的保护范围。因此,本发明专利的保护范围应以所附权利要求为准。The above-mentioned embodiments only represent several embodiments of the present invention, and the descriptions thereof are specific and detailed, but should not be construed as a limitation on the scope of the invention patent. It should be pointed out that for those of ordinary skill in the art, without departing from the concept of the present invention, several modifications and improvements can also be made, which all belong to the protection scope of the present invention. Therefore, the protection scope of the patent of the present invention should be subject to the appended claims.

Claims (17)

1.一种半导体结构,其特征在于,包括:1. a semiconductor structure, is characterized in that, comprises: 衬底,所述衬底中具有沟槽,所述沟槽包括靠近所述衬底表面的第一沟槽和远离所述衬底表面的第二沟槽,所述第一沟槽的宽度大于所述第二沟槽的宽度;A substrate, wherein the substrate has a groove, the groove includes a first groove close to the surface of the substrate and a second groove away from the surface of the substrate, the width of the first groove is greater than the width of the second groove; 介质层,覆盖所述沟槽的底部和侧壁;a dielectric layer covering the bottom and sidewalls of the trench; 导电层,位于所述沟槽中,所述导电层的上表面低于所述衬底的上表面;a conductive layer, located in the trench, the upper surface of the conductive layer is lower than the upper surface of the substrate; 空气侧墙,位于所述第一沟槽,且位于所述导电层相对的两侧;air spacers, located in the first trench and on opposite sides of the conductive layer; 绝缘保护层,覆盖所述导电层的上表面和所述空气侧墙的顶部。an insulating protective layer covering the upper surface of the conductive layer and the top of the air spacer. 2.根据权利要求1所述的半导体结构,其特征在于,所述导电层的上表面与所述第一沟槽的中部或中上部齐平。2 . The semiconductor structure of claim 1 , wherein the upper surface of the conductive layer is flush with the middle portion or the upper middle portion of the first trench. 3 . 3.根据权利要求1所述的半导体结构,其特征在于,所述导电层包括金属层和包覆所述金属层底部和侧壁的金属阻挡层。3 . The semiconductor structure of claim 1 , wherein the conductive layer comprises a metal layer and a metal barrier layer covering the bottom and sidewalls of the metal layer. 4 . 4.根据权利要求1所述的半导体结构,其特征在于,所述空气侧墙位于所述导电层的侧壁和所述介质层之间,且所述空气侧墙的顶部与所述导电层的上表面齐平。4 . The semiconductor structure of claim 1 , wherein the air spacer is located between the sidewall of the conductive layer and the dielectric layer, and the top of the air spacer is connected to the conductive layer. 5 . the top surface is flush. 5.根据权利要求1所述的半导体结构,其特征在于,所述空气侧墙为由所述导电层、所述绝缘保护层和所述介质层封闭形成的空间。5 . The semiconductor structure of claim 1 , wherein the air spacer is a space enclosed and formed by the conductive layer, the insulating protective layer and the dielectric layer. 6 . 6.一种半导体结构的制备方法,其特征在于,包括:6. A method for preparing a semiconductor structure, comprising: 提供衬底;provide a substrate; 于所述衬底中形成沟槽,所述沟槽的底部和侧壁被介质层覆盖;forming a trench in the substrate, the bottom and sidewalls of the trench are covered by a dielectric layer; 于所述介质层中形成牺牲层;forming a sacrificial layer in the dielectric layer; 形成导电层,所述导电层的上表面低于所述衬底的上表面;forming a conductive layer, the upper surface of the conductive layer is lower than the upper surface of the substrate; 去除所述牺牲层,以于所述导电层相对的两侧形成空气侧墙;removing the sacrificial layer to form air spacers on opposite sides of the conductive layer; 形成绝缘保护层,所述绝缘保护层覆盖所述导电层的上表面和所述空气侧墙的顶部。An insulating protective layer is formed, and the insulating protective layer covers the upper surface of the conductive layer and the top of the air spacer. 7.根据权利要求6所述的半导体结构的制备方法,其特征在于,所述介质层包括第一介质层和第二介质层;所述于所述衬底中形成沟槽,所述沟槽的底部和侧壁被介质层覆盖,包括:7 . The method for fabricating a semiconductor structure according to claim 6 , wherein the dielectric layer comprises a first dielectric layer and a second dielectric layer; the trench is formed in the substrate, and the trench is formed in the substrate. 8 . The bottom and sidewalls are covered by a dielectric layer, including: 于所述衬底中形成第一沟槽,所述第一沟槽具有第一宽度;forming a first trench in the substrate, the first trench having a first width; 于所述第一沟槽的底部和侧壁形成所述第一介质层;forming the first dielectric layer on the bottom and sidewalls of the first trench; 去除所述第一沟槽底部的所述第一介质层,并于所述第一沟槽的底部形成第二沟槽,所述第二沟槽具有第二宽度,所述第二宽度小于所述第一宽度;removing the first dielectric layer at the bottom of the first trench, and forming a second trench at the bottom of the first trench, the second trench has a second width, and the second width is smaller than the the first width; 形成所述第二介质层,所述第二介质层覆盖所述第二沟槽的底部和侧壁,以及所述第一介质层的侧壁。The second dielectric layer is formed, and the second dielectric layer covers the bottom and sidewalls of the second trench and the sidewalls of the first dielectric layer. 8.根据权利要求7所述的半导体结构的制备方法,其特征在于,所述第一介质层和所述第二介质层包括氧化硅层。8. The method for fabricating a semiconductor structure according to claim 7, wherein the first dielectric layer and the second dielectric layer comprise silicon oxide layers. 9.根据权利要求7所述的半导体结构的制备方法,其特征在于,所述第一介质层的厚度包括5nm-15nm;所述第二介质层的厚度包括5nm-15nm。9 . The method for fabricating a semiconductor structure according to claim 7 , wherein the thickness of the first dielectric layer is 5 nm-15 nm; the thickness of the second dielectric layer is 5 nm-15 nm. 10 . 10.根据权利要求7所述的半导体结构的制备方法,其特征在于,所述于所述介质层中形成牺牲层,包括:10. The method for fabricating a semiconductor structure according to claim 7, wherein the forming a sacrificial layer in the dielectric layer comprises: 向所述介质层中掺杂第一组分,以得到所述牺牲层;Doping the first component into the dielectric layer to obtain the sacrificial layer; 其中,所述牺牲层的厚度小于所述介质层的厚度。Wherein, the thickness of the sacrificial layer is smaller than the thickness of the dielectric layer. 11.根据权利要求10所述的半导体结构的制备方法,其特征在于,所述第一组分包括磷。11. The method for fabricating a semiconductor structure according to claim 10, wherein the first component comprises phosphorus. 12.根据权利要求10所述的半导体结构的制备方法,其特征在于,所述向所述介质层中掺杂第一组分,包括:12 . The method for fabricating a semiconductor structure according to claim 10 , wherein the doping the first component into the dielectric layer comprises: 12 . 向位于所述第一介质层侧壁的所述第二介质层中掺杂所述第一组分,以得到所述牺牲层。The second dielectric layer located on the sidewall of the first dielectric layer is doped with the first component to obtain the sacrificial layer. 13.根据权利要求12所述的半导体结构的制备方法,其特征在于,所述牺牲层的厚度等于所述第二介质层的厚度。13 . The method for fabricating a semiconductor structure according to claim 12 , wherein the thickness of the sacrificial layer is equal to the thickness of the second dielectric layer. 14 . 14.根据权利要求13所述的半导体结构的制备方法,其特征在于,所述形成导电层,包括:14. The method for fabricating a semiconductor structure according to claim 13, wherein the forming a conductive layer comprises: 形成金属阻挡层,所述金属阻挡层覆盖所述牺牲层和所述介质层的表面;forming a metal barrier layer covering the surfaces of the sacrificial layer and the dielectric layer; 形成金属层,所述金属层填满所述沟槽且覆盖所述衬底的上表面;forming a metal layer that fills the trench and covers the upper surface of the substrate; 去除所述衬底上表面的所述金属层,并降低所述沟槽中的所述金属层的厚度,使得所述金属层的上表面低于所述衬底的上表面;removing the metal layer on the upper surface of the substrate, and reducing the thickness of the metal layer in the trench, so that the upper surface of the metal layer is lower than the upper surface of the substrate; 去除部分所述金属阻挡层,使得所述金属阻挡层的顶部与所述金属层的上表面齐平。Part of the metal barrier layer is removed so that the top of the metal barrier layer is flush with the upper surface of the metal layer. 15.根据权利要求14所述的半导体结构的制备方法,其特征在于,所述金属层的上表面与所述牺牲层的中部或中上部齐平。15 . The method for fabricating a semiconductor structure according to claim 14 , wherein the upper surface of the metal layer is flush with the middle or upper middle portion of the sacrificial layer. 16 . 16.根据权利要求15所述的半导体结构的制备方法,其特征在于,所述去除所述牺牲层,以于所述导电层相对的两侧形成空气侧墙,包括:16 . The method for fabricating a semiconductor structure according to claim 15 , wherein the removing the sacrificial layer to form air spacers on opposite sides of the conductive layer comprises: 16 . 采用刻蚀工艺去除所述牺牲层,形成所述空气侧墙,所述空气侧墙暴露出所述第一介质层的侧壁、所述第二介质层的顶部以及所述导电层的部分侧壁。The sacrificial layer is removed by an etching process to form the air spacer, and the air spacer exposes the sidewall of the first dielectric layer, the top of the second dielectric layer and a part of the side of the conductive layer wall. 17.根据权利要求6-16任一项所述的半导体结构的制备方法,其特征在于,所述形成绝缘保护层,包括:17. The method for preparing a semiconductor structure according to any one of claims 6-16, wherein the forming an insulating protective layer comprises: 形成绝缘材料层,所述绝缘材料层覆盖所述空气侧墙的顶部、所述导电层的上表面和所述衬底的上表面;forming an insulating material layer covering the top of the air spacer, the upper surface of the conductive layer and the upper surface of the substrate; 去除所述衬底上表面的所述绝缘材料层,得到所述绝缘保护层;其中,所述绝缘保护层的上表面与所述衬底的上表面齐平。The insulating material layer on the upper surface of the substrate is removed to obtain the insulating protection layer; wherein, the upper surface of the insulating protection layer is flush with the upper surface of the substrate.
CN202210468131.7A 2022-04-29 2022-04-29 Semiconductor structure and preparation method thereof Pending CN114725108A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210468131.7A CN114725108A (en) 2022-04-29 2022-04-29 Semiconductor structure and preparation method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202210468131.7A CN114725108A (en) 2022-04-29 2022-04-29 Semiconductor structure and preparation method thereof

Publications (1)

Publication Number Publication Date
CN114725108A true CN114725108A (en) 2022-07-08

Family

ID=82245253

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210468131.7A Pending CN114725108A (en) 2022-04-29 2022-04-29 Semiconductor structure and preparation method thereof

Country Status (1)

Country Link
CN (1) CN114725108A (en)

Similar Documents

Publication Publication Date Title
JP5391423B2 (en) Sub-resolution silicon features and methods for forming the same
CN104103577B (en) Semiconductor devices with air gap and its manufacturing method
JP5361626B2 (en) Manufacturing method of memory device having recessed gate structure
US8507349B2 (en) Semiconductor device employing fin-type gate and method for manufacturing the same
CN111180513B (en) Semiconductor device and method of forming the same
KR100861211B1 (en) Semiconductor device and manufacturing method thereof
JP2006505949A (en) Planarization of gate materials to improve the critical dimensions of semiconductor device gates.
US8378395B2 (en) Methods of fabricating field effect transistors having protruded active regions
US11031467B2 (en) Field effect transistor based on vertically integrated gate-all-round multiple nanowire channels
TWI854444B (en) Semiconductor device and method for forming same
TWI627730B (en) Capacitor strip body connection structure and manufacturing method
CN108122840B (en) A kind of semiconductor device and preparation method, electronic device
CN114725108A (en) Semiconductor structure and preparation method thereof
US11309183B2 (en) Semiconductor structure and forming method thereof
EP4264674A1 (en) Vertical field effect transistor with crosslink fin arrangement
KR100944356B1 (en) Semiconductor device and manufacturing method thereof
CN106910685A (en) A kind of semiconductor devices and preparation method thereof, electronic installation
KR100732269B1 (en) Semiconductor element and manufacturing method thereof
CN111009529B (en) Non-volatile memory structure and manufacturing method thereof
TW202349505A (en) Method of manufacturing semiconductor devices and semiconductor devices
TWI425521B (en) Method of forming bit line
KR100753410B1 (en) Manufacturing method of semiconductor device
CN116801608A (en) Semiconductor structure and preparation method thereof
CN113903805A (en) Semiconductor structure and forming method thereof
CN115692497A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination