CN112164688B - 芯片堆叠结构及管芯堆叠结构的制造方法 - Google Patents
芯片堆叠结构及管芯堆叠结构的制造方法 Download PDFInfo
- Publication number
- CN112164688B CN112164688B CN202011054090.4A CN202011054090A CN112164688B CN 112164688 B CN112164688 B CN 112164688B CN 202011054090 A CN202011054090 A CN 202011054090A CN 112164688 B CN112164688 B CN 112164688B
- Authority
- CN
- China
- Prior art keywords
- chip
- substrate
- contact conductor
- pad
- die
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/481—Internal lead connections, e.g. via connections, feedthrough structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/94—Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/09—Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L24/20—Structure, shape, material or disposition of high density interconnect preforms
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L24/81—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L24/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/95—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
- H01L24/97—Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of semiconductor or other solid state devices
- H01L25/03—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/041—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in subclass H10F
- H01L25/043—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of semiconductor or other solid state devices
- H01L25/03—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H10D89/00
- H01L25/0657—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of semiconductor or other solid state devices
- H01L25/03—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/075—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H10H20/00
- H01L25/0756—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of semiconductor or other solid state devices
- H01L25/50—Multistep manufacturing processes of assemblies consisting of devices, the devices being individual devices of subclass H10D or integrated devices of class H10
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05K—PRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
- H05K3/00—Apparatus or processes for manufacturing printed circuits
- H05K3/46—Manufacturing multilayer circuits
- H05K3/4602—Manufacturing multilayer circuits characterized by a special circuit board as base or central core whereon additional circuit layers are built or additional circuit boards are laminated
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/811—Combinations of field-effect devices and one or more diodes, capacitors or resistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0237—Disposition of the redistribution layers
- H01L2224/02372—Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/03—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/0556—Disposition
- H01L2224/05571—Disposition the external layer being disposed in a recess of the surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08121—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the connected bonding areas being not aligned with respect to each other
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08135—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/08145—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08135—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/08145—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
- H01L2224/08146—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08135—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/08145—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
- H01L2224/08147—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/09—Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
- H01L2224/091—Disposition
- H01L2224/0918—Disposition being disposed on at least two different sides of the body, e.g. dual array
- H01L2224/09181—On opposite sides of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/8034—Bonding interfaces of the bonding area
- H01L2224/80357—Bonding interfaces of the bonding area being flush with the surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80895—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80896—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80986—Specific sequence of steps, e.g. repetition of manufacturing steps, time sequence
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
- H01L2224/92—Specific sequence of method steps
- H01L2224/9202—Forming additional connectors after the connecting process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/93—Batch processes
- H01L2224/94—Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same main group of the same subclass of class H10, e.g. assemblies of rectifier diodes
- H01L2225/04—All the devices being of a type provided for in the same main group of the same subclass of class H10, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same main group of the same subclass of class H10
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06513—Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same main group of the same subclass of class H10, e.g. assemblies of rectifier diodes
- H01L2225/04—All the devices being of a type provided for in the same main group of the same subclass of class H10, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same main group of the same subclass of class H10
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06541—Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L24/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of semiconductor or other solid state devices
- H01L25/03—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/07—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group subclass H10D
- H01L25/074—Stacked arrangements of non-apertured devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of semiconductor or other solid state devices
- H01L25/03—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes
- H01L25/10—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices having separate containers
- H01L25/11—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in subclass H10D
- H01L25/117—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/15—Details of package parts other than the semiconductor or other solid state devices to be connected
- H01L2924/151—Die mounting substrate
- H01L2924/1511—Structure
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本发明公开一种芯片堆叠结构及管芯堆叠结构的制造方法。该芯片堆叠结构包括第一芯片和第二芯片。第二芯片位于第一芯片上。第一芯片包括第一衬底、第一内连线结构、第一焊垫和第一接触导体。第一内连线结构位于第一衬底的第一表面上。第一焊垫位于第一内连线结构上。第一接触导体位于第一衬底中,且暴露于第一衬底的相对于第一表面的第二表面。第二芯片包括第二衬底、第二内连线结构、第二焊垫和第二接触导体。第二内连线结构位于第二衬底上。第二焊垫位于第二内连线结构上。第二接触导体位于第二衬底中,其中第一接触导体直接实体接触第二焊垫。本发明的芯片堆叠结构及管芯堆叠结构的制造方法的制作工艺简单且具有高制作工艺良率。
Description
本申请是中国发明专利申请(申请号:201710600400.X,申请日:2017年07月21日,发明名称:芯片堆叠结构及管芯堆叠结构的制造方法)的分案申请。
技术领域
本发明涉及一种半导体结构及其制造方法,且特别是涉及一种芯片堆叠结构及管芯堆叠结构的制造方法。
背景技术
随着电子制造技术的发展,越来越多的电子产品以可携性、高功能性以及轻薄短小为发展目标,致使其所搭配的芯片的功能性及其所包含的电路装置也势必会越来越多且越来越复杂。在此需求下,三维集成电路(three dimension integrated circuit,3D IC)的设计逐渐受到重视。
然而,三维集成电路除了需要面对晶片薄型化、芯片堆叠等相关技术层面的问题外,集成电路的前段与后段制作工艺也出现了隐藏于制造细节上的问题,其高成本与低良率产量为此项技术的主要问题。因此,如何降低三维集成电路的制造成本并提升其制作工艺良率,实为目前研发人员亟欲解决的议题之一。
发明内容
本发明提供一种芯片堆叠结构及管芯堆叠结构的制造方法,其制作工艺简单且具有高制作工艺良率。
本发明的一实施例提供一种芯片堆叠结构,其包括第一芯片和第二芯片。第二芯片位于第一芯片上。第一芯片包括第一衬底、第一内连线结构、第一焊垫和第一接触导体。第一内连线结构位于第一衬底的第一表面上。第一焊垫位于第一内连线结构上。第一接触导体位于第一衬底中,且暴露于第一衬底的相对于第一表面的第二表面。第二芯片包括第二衬底、第二内连线结构、第二焊垫和第二接触导体。第二内连线结构位于第二衬底上。第二焊垫位于第二内连线结构上。第二接触导体位于第二衬底中,其中第一接触导体直接实体接触第二焊垫。
在本发明一实施例中,第一接触导体未覆盖第一衬底的第二表面。
在本发明的一实施例中,还包括承载板,其位于第一芯片的下方。
在本发明一实施例中,承载板包括承载芯片,且第一芯片的第一焊垫连接至承载芯片的焊垫。
在本发明一实施例中,承载芯片的厚度大于第一芯片的厚度。
在本发明一实施例中,还包括介电层,其位于第一芯片和第二芯片之间。
在本发明一实施例中,第二芯片的主动面朝向第一芯片的背面。
本发明的另一实施例提供一种芯片堆叠结构,其包括第一芯片和第二芯片。第二芯片位于第一芯片上。第一芯片包括第一衬底、第一内连线结构、第一焊垫和第一接触导体。第一内连线结构位于第一衬底的第一表面上。第一焊垫位于第一内连线结构上。第一接触导体位于第一衬底中,且暴露于第一衬底的相对于第一表面的第二表面。第二芯片包括第二衬底、第二内连线结构、第二焊垫和第二接触导体。第二内连线结构位于第二衬底上。第二焊垫位于第二内连线结构上。第二接触导体位于第二衬底中,其中第一接触导体直接实体接触于第二焊垫,第一接触导体具有宽度A,第二焊垫具有宽度B,且5≤B/A。
在本发明一实施例中,第一接触导体未覆盖第一衬底的所述第二表面。
在本发明一实施例中,还包括承载板,其位于第一芯片的下方。
在本发明一实施例中,承载板包括承载芯片,且第一芯片的第一焊垫连接至承载芯片的焊垫。
在本发明一实施例中,承载芯片的厚度大于第一芯片的厚度。
在本发明一实施例中,还包括介电层,其位于第一芯片和第二芯片之间。
在本发明一实施例中,第二芯片的主动面朝向第一芯片的背面。
本发明的一实施例提供一种管芯堆叠结构的制造方法,其包括以下步骤。提供第一晶片,其包括第一管芯,且第一管芯包括第一衬底材料层和依序形成于第一衬底材料层上的第一内连线结构和第一焊垫,且第一衬底材料层中具有第一接触导体。提供第二晶片,其包括第二管芯,且第二管芯包括第二衬底材料层和依序形成于第二衬底材料层上的第二内连线结构和第二焊垫,其中第二衬底材料层中具有第二接触导体。移除部分第一衬底材料层,以形成第一衬底,且第一接触导体暴露于第一衬底的远离第一内连线结构的表面。将第二晶片覆盖第一晶片,使得第一接触导体直接实体接触第二焊垫。
在本发明一实施例中,第一接触导体未覆盖第一衬底的远离第一内连线结构的表面。
在本发明一实施例中,在移除部分第一衬底材料层之前,还包括将第一晶片设置于承载板上。
在本发明一实施例中,承载板包括承载晶片,且承载晶片包括第三管芯,其中第一管芯的第一焊垫连接至第三管芯的焊垫。
在本发明一实施例中,第二管芯的主动面面对第一管芯的背面。
在本发明一实施例中,在移除部分第一衬底材料层之后,还包括于第一衬底的远离第一内连线结构的表面上形成介电层,其中介电层暴露第一接触导体。
基于上述,本发明上述实施例所提出的芯片堆叠结构及管芯堆叠结构的制造方法中,由于第一接触导体直接实体接触第二焊垫,故可省略于第一衬底的第二表面上形成用以连接第一接触导体和第二焊垫的焊垫,致使制作工艺得以简化,进而提升芯片堆叠结构的制作工艺良率并降低其制造成本。
为让本发明的上述特征和优点能更明显易懂,下文特举实施例,并配合所附的附图作详细说明如下。
附图说明
图1A至图1E为本发明一实施例的管芯堆叠结构的制造方法的剖视图;
图2为本发明另一实施例的管芯堆叠结构的剖视图;
图3为本发明另一实施例的芯片堆叠结构的剖视图。
符号说明
10:承载板
100、100a、200、200a:晶片
101、101a、201、201a:管芯
101b、201b:芯片
12、102、202:衬底材料层
102a、202a:衬底
14、22、104、114、116、204、214、216:介电层
16、106、206:导线
18、108、208:内连线结构
20、110、210:焊垫
112、212:接触导体
218:重布线层
300:管芯堆叠结构
300a:芯片堆叠结构
S1:第一表面
S2:第二表面
t1、t2:厚度
A、B:宽度
具体实施方式
参照本实施例的附图以更全面地阐述本发明。然而,本发明也可以各种不同的形式体现,而不应限于本文中所述的实施例。附图中的层与区域的厚度会为了清楚起见而放大。相同或相似的参考号码表示相同或相似的元件,以下段落将不再一一赘述。
图1A至图1E为依照本发明一实施例的管芯堆叠结构的制造方法的剖视图。图2为依照本发明另一实施例的管芯堆叠结构的剖视图。图3为依照本发明另一实施例的芯片堆叠结构的剖视图。
请参照图1A,提供晶片100。晶片100包括多个管芯,图1A中仅显示其中一管芯101(即第一管芯)。管芯101包括衬底材料层102、内连线结构108、焊垫110、接触导体112和介电层114。衬底材料层102包括半导体基底。半导体基底例如是掺杂硅基底、未掺杂硅基底或绝缘体上覆硅(silicon-on-insulator,SOI)基底。掺杂硅基底可以为P型掺杂、N型掺杂或其组合。在一些实施例中,衬底材料层102之中及/或之上可包括主动元件,例如电荷耦合元件(CCD)、P型金属氧化物半导体晶体管(PMOS)、N型金属氧化物半导体晶体管(NMOS)、互补式金属氧化物半导体(CMOS)晶体管、光电二极管或其组合。衬底材料层102之上也可包括被动元件如电容、电阻、电感、或其组合。在一些实施例中,衬底材料层102还包括内层介电层(inter layer dielectric,ILD)及/或接触窗,但本发明不以此为限。
内连线结构108形成于衬底材料层102上。内连线结构108包括介电层104和多个形成于介电层104中的导线106。介电层104例如是金属层间介电层(inter metaldielectric,IMD),其材料可以是介电材料。举例来说,介电材料可以是氧化硅、四乙氧基硅氧烷(TEOS)氧化硅、氮化硅、氮氧化硅、无掺杂硅玻璃(USG)、硼磷硅玻璃(BPSG)、磷硅玻璃(PSG)、介电常数低于4的低介电常数材料或其组合。低介电常数材料例如是氟掺杂硅玻璃(FSG)、硅倍半氧化物、芳香族碳氢化合物(Aromatic hydrocarbon)、有机硅酸盐玻璃、聚对二甲苯(Parylene)、氟化聚合物(Fluoro-Polymer)、聚芳醚(Poly(arylethers))、多孔聚合物(Porous polymer)或其组合。硅倍半氧化物例如是氢硅倍半氧化物(Hydrogensilsesquioxnane,HSQ)、甲基硅倍半氧化物(Methyl silsesquioxane,MSQ)或混合有机硅烷聚合物(Hybrido-organo siloxane polymer,HOSP)。芳香族碳氢化合物例如是SiLK。有机硅酸盐玻璃例如是碳黑(black diamond,BD)、3MS或4MS。氟化聚合物例如是PFCB、CYTOP、Teflon。聚芳醚例如是PAE-2或FLARE。多孔聚合物例如是XLK、Nanofoam、Awrogel或Coral。介电层104的形成方法例如是原子层沉积法(ALD)、化学气相沉积法(CVD)、旋转涂布法(SOG)或其组合。导线106包括导体层及/或介层窗,其材料可以是导体材料。举例来说,导体材料例如是金属、金属合金、金属氮化物、金属硅化物或其组合。在一些示范实施例中,金属与金属合金例如Cu、Al、Ti、Ta、W、Pt、Cr、Mo或其合金。金属氮化物例如是氮化钛、氮化钨、氮化钽、氮化硅钽(TaSiN)、氮化硅钛(TiSiN)、氮化硅钨(WSiN)或其组合。金属硅化物例如是硅化钨、硅化钛、硅化钴、硅化锆、硅化铂、硅化钼、硅化铜、硅化镍或其组合。在一些实施例中,导线106的形成方法可以是单镶嵌制作工艺(single damascene process)、双镶嵌制作工艺(dual damascene process)或其组合。导线106将主动元件/被动元件电连接至后续将述的接触导体112及/或焊垫。
衬底材料层102中具有接触导体112。接触导体112的材料可以是导体材料。举例来说,导体材料例如是金属、金属合金、金属氮化物、金属硅化物或其组合。在一些示范实施例中,金属与金属合金例如Cu、Al、Ti、Ta、W、Pt、Cr、Mo或其合金。金属氮化物例如是氮化钛、氮化钨、氮化钽、氮化硅钽、氮化硅钛、氮化硅钨或其组合。金属硅化物例如是硅化钨、硅化钛、硅化钴、硅化锆、硅化铂、硅化钼、硅化铜、硅化镍或其组合。在一些实施例中,接触导体112为硅穿孔(through silicon via,TSV),且根据形成的顺序,其形成方法大略可分为先导孔(via-first)制作工艺、中导孔(via-middle)制作工艺和后导孔(via-last)制作工艺。举例来说,先导孔制作工艺是在晶片进行前段制作工艺(front-end-of-line,FEOL)之前,即将接触导体112形成于衬底材料层102中;后导孔制作工艺是在晶片进行后段制作工艺(back-end-of-the-line,BEOL)之后,才将接触导体112形成于衬底材料层102中;中导孔制作工艺则是在前段制作工艺和后段制作工艺之间(即中段制作工艺(middle-end-of-the-line,MEOL)),将接触导体112形成于衬底材料层102中。在本实施例中,接触导体112是通过中导孔制作工艺形成于衬底材料层102中并通过介电材料(未显示于图中)与衬底材料层102电绝缘,但本发明不以为限,其也可以是通过先导孔制作工艺或是后导孔制作工艺形成于衬底材料层102中。
焊垫110形成于内连线结构108上。焊垫110的材料可以是导体材料。举例来说,导体材料例如是如前针对接触所述的金属、金属合金、金属氮化物、金属硅化物或其组合。焊垫110的形成方法例如是金属图案化制作工艺或金属镶嵌制作工艺。
介电层114形成于内连线结构108上且暴露焊垫110。介电层114的材料可以是如前针对介电层104所述的介电材料。在一些实施例中,介电层114的形成方法可以是先于内连线结构108上形成覆盖焊垫110的介电材料层(未示出)。接着,移除位于焊垫110上的介电材料层,以形成暴露出焊垫110的介电层114。或者,介电层114的形成方法可以是先于内连线结构108上形成介电材料层(未示出),接着移除欲形成焊垫110处的部分介电材料层,然后形成焊垫110。在一些实施例中,可使用平坦化制作工艺来移除位于焊垫110上的介电材料层。平坦化制作工艺例如是化学机械研磨(chemical mechanical polishing,CMP)制作工艺。
请继续参照图1A,提供承载板10。在一些实施例中,承载板10可以是与晶片100相似的承载晶片。也就是说,承载板10也包括多个管芯,图1A中显示其中一管芯11(即第三管芯)。管芯11包括衬底材料层12、内连线结构18(包括介电层14和导线16)、焊垫20和介电层22,且其相对位置、材料及形成方法分别如同上述管芯101的衬底材料层102、内连线结构108、焊垫110和介电层114,于此不再重复赘述。在一些实施例中,承载板10(承载晶片)可不需在衬底材料层12中形成接触导体,但本发明不以此为限。
请同时参照图1A和图1B,将晶片100覆盖于承载板10上。在一些实施例中,承载板10可以是与晶片100相似的承载晶片,其中管芯101的焊垫110朝向承载板10,并连接至承载板10(即承载晶片)中的管芯11的焊垫20。如此一来,由于承载板10为晶片,故后续制作工艺中不需移除(一般用来承载晶片的载板不具有主动元件及/或内连线结构,故在后续制作工艺中会将其移除),因此,不仅可简化制作工艺并省去载板的费用,且可额外增加芯片的堆叠密度。在一些实施例中,可使用混合接合(hybrid bond,HB)的方式将管芯101的焊垫110连接至承载板10(即承载晶片)中的管芯11的焊垫20,并将管芯101的介电层114连接至承载板10的介电层22。
请同时参照图1B和图1C,移除部分衬底材料层102以形成衬底102a,其中接触导体112暴露并突出于衬底102a的第二表面S2。在一些实施例中,移除部份衬底材料层102的方法是依序对衬底材料层102的远离内连线结构108的表面(即管芯101的背面)进行薄化制作工艺(thinning process)和蚀刻制作工艺,使得接触导体112暴露并突出于衬底102a的第二表面S2。薄化制作工艺例如是对衬底材料层102的远离内连线结构108的表面进行研磨(grinding)制作工艺。蚀刻制作工艺例如是干蚀刻、湿蚀刻或其组合。在一些实施例中,接触导体112未覆盖衬底102a的远离内连线结构108的表面(即第二表面S2)。另外,衬底102a的厚度小于衬底材料层12、102的厚度。在一些实施例中,衬底102a具有厚度t1,且3μm<t1<100μm;而衬底材料层12、102具有厚度t2,且t2约为775μm。也就是说,在承载板10为承载晶片的实施例中,其衬底材料层12的厚度大于衬底102a的厚度(即承载晶片的厚度(管芯11的厚度)大于管芯101a的厚度),因此,当管芯101a承载于其上时,仍可避免管芯101a的厚度太薄而不易于其上进行后续制作工艺的问题。
请参照图1D,在衬底102a的第二表面S2上形成介电层116,其中介电层116暴露第一接触导体112。介电层116的材料例如是介电材料。介电材料例如是氧化硅、四乙氧基硅氧烷(TEOS)氧化硅无掺杂硅玻璃(USG)等或其组合。在一些实施例中,介电层116的形成方法是先于衬底102a的第二表面S2上形成覆盖接触导体112的介电材料层(未示出)。接着,移除位于接触导体112上的介电材料层,以形成暴露接触导体112的介电层116。介电材料层的形成方法例如是ALD、CVD、SOG或其组合。移除位于接触导体112上的介电材料层的方法可以是平坦化制作工艺,例如CMP。在一些实施例中,介电层116的顶面与接触导体112的顶面为共平面,且介电层116环绕突出于第二表面S2上的接触导体112。
请参照图1E,提供晶片200。晶片200包括多个管芯,图1E中显示其中一管芯201(即第二管芯)。管芯201包括衬底材料层202、内连线结构208(包括介电层204和导线206)、焊垫210、接触导体212和介电层214。在一些实施例中,晶片200与晶片100相似,故其管芯201中的衬底材料层202、内连线结构208、焊垫210、接触导体212和介电层214的相对位置、材料及其形成方法大致相似于管芯101的衬底材料层102、内连线结构108、焊垫110、接触导体112和介电层114,于此不在重复赘述。
接着,将晶片200覆盖晶片100a,使得管芯201对接管芯101a,且管芯101a的接触导体112直接实体接触管芯201的焊垫210。如此一来,可省略于介电层116上形成另一用以连接接触导体112和焊垫210的焊垫,致使制作工艺得以简化,进而提升后续形成芯片堆叠结构的制作工艺良率并降低其制造成本。在一些实施例中,可使用混合接合的方式将管芯101a的接触导体112直接实体接触管芯201的焊垫210。在一些实施例中,接触导体112具有宽度A;焊垫210具有宽度B,且5≤B/A,尤其5≤B/A≤10甚至B/A>10。如此一来,即便触导体112和焊垫210发生对准偏差,接触导体112仍可良好地电连接于焊垫210,且不会影响到其他相邻的接触导体112及/或焊垫210(例如太靠近邻近的接触导体112及/或焊垫210,会受到电迁移(electron migration,EM)效应的影响,而导致有短路的风险)。在本实施例中,管芯201的主动面朝向管芯101a的背面,亦即,本实施例是采用晶面对晶背(back-to-front)的堆叠方式进行说明,但本发明不以此为限。在一些实施例中,也可以是采用晶面对晶面(front-to-front)或是晶背对晶背(back-to-back)的堆叠方式。另外,请参照图1A及图1E,在本实施例中,是以在承载板10上堆叠两个晶片(晶片100、200)为例进行说明,但本发明不以此为限,其可再对晶片200进行如图1C至图1E的制作工艺,以形成晶片200a,并于其上堆叠一个或是多个晶片。
请同时参照图1E及图2,在本实施例中,是以在承载板10上堆叠两个晶片为例进行说明,因此,管芯201为管芯堆叠结构的最上层管芯,然而本发明不以此为限。对管芯201进行如上述图1C及图1D的制作工艺,以形成管芯201a,其接触导体212暴露并突出于衬底202a的远离内连线结构208的表面,且介电层216形成于衬底102a的远离内连线结构208的表面并暴露接触导体212。在一实施例中,介电层216的材料及其形成方法大致与介电层116相似,于此不再重复赘述。接着,于介电层216上形成重布线层(redistribution layer,RDL)218,以定义管芯堆叠结构300的最上层管芯的焊垫。重布线层218电连接至相对应的接触导体212。重布线层218的材料可以是上面针对接触导体所述的导体材料。举例来说,导体材料例如是上述金属、金属合金、金属氮化物、金属硅化物或其组合。
请参照图3,对管芯堆叠结构300进行单体化制作工艺(singulation),以将管芯堆叠结构300切割成多个相互分离的芯片堆叠结构300a。以下,将通过图3来说明本实施例的芯片堆叠结构300a。此外,本实施例的芯片堆叠结构300a的制造方法虽然是以上述制造方法为例进行说明,但本发明的芯片堆叠结构300a的制造方法并不以此为限。
请参照图3,芯片堆叠结构300a包括芯片101b(即第一芯片)和芯片201b(即第二芯片)。芯片101b与芯片201b分别对应管芯101a和管芯201a(如图2所示)。芯片201b位于芯片101b上。芯片101b包括衬底102a(第一衬底)、内连线结构108(第一内连线结构)、焊垫110(第一焊垫)、接触导体112(第一接触导体)和介电层114。内连线结构108位于衬底102a的第一表面S1上。焊垫110位于内连线结构108上。接触导体112位于衬底102a中,且暴露于衬底102a的相对于第一表面S1的第二表面S2。芯片201b包括衬底202a(第二衬底)、内连线结构208(第二内连线结构)、焊垫210(第二焊垫)、接触导体212(第二接触导体)和介电层214。内连线结构208位于衬底202a上。焊垫210位于内连线结构208上,其中芯片101b的接触导体112直接实体接触芯片201b的焊垫210。接触导体112具有宽度A,焊垫210具有宽度B,且5≤B/A例如5≤B/A≤10甚至B/A>10。另外,接触导体112未覆盖衬底102a的第二表面S2。在一些实施例中,芯片堆叠结构300a还包括承载板10,其位于芯片101b的下方。在一些实施例中,承载板10为承载芯片。芯片101b的焊垫110连接至承载板10(即承载芯片)的焊垫20,其中承载芯片的厚度大于芯片101b的厚度。在一些实施例中,芯片堆叠结构300a还包括介电层116,其位于芯片101b和第二芯片201b之间。在一些实施例中,芯片201b的主动面朝向芯片101b的背面。
综上所述,上述实施例所述的芯片堆叠结构及其制造方法中,由于第一芯片的第一接触导体直接实体接触第二芯片的第二焊垫,故可省略于第一衬底的第二表面上形成用以连接第一接触导体和第二焊垫的焊垫,致使制作工艺得以简化,进而提升芯片堆叠结构的制作工艺良率并降低其制造成本。
虽然结合以上实施例公开了本发明,然而其并非用以限定本发明,任何所属技术领域中具有通常知识者,在不脱离本发明的精神和范围内,可作些许的更动与润饰,故本发明的保护范围应当以附上的权利要求所界定的为准。
Claims (10)
1.一种芯片堆叠结构,其特征在于,包括:
第一芯片,包括:
第一衬底;
第一内连线结构,位于所述第一衬底的第一表面上;
第一焊垫,位于所述第一内连线结构上;以及
第一接触导体,位于所述第一衬底中,且暴露于所述第一衬底的相对于所述第一表面的第二表面;
第二芯片,位于所述第一芯片上,所述第二芯片包括:
第二衬底;
第二内连线结构,位于所述第二衬底上;
第二焊垫,位于所述第二内连线结构上;以及
第二接触导体,位于所述第二衬底中;
第一介电层,位于所述第一芯片与所述第二芯片之间且包括环绕所述第一接触导体的部分,其中所述第一介电层的所述部分直接实体接触所述第二焊垫,且所述第一介电层的上表面与所述第一接触导体的上表面为共平面;以及
重布线层,位于所述第二接触导体上并电连接至所述第二接触导体,
其中所述第一接触导体直接实体接触所述第二焊垫,所述第一接触导体具有宽度A,所述第二焊垫具有宽度B,且5≤B/A。
2.根据权利要求1所述的芯片堆叠结构,还包括:
承载板,位于所述第一芯片的下方。
3.根据权利要求2所述的芯片堆叠结构,其中所述承载板包括承载芯片,且所述第一芯片的所述第一焊垫连接至所述承载芯片的焊垫。
4.根据权利要求3所述的芯片堆叠结构,其中所述承载芯片的厚度大于所述第一芯片的厚度。
5.根据权利要求1所述的芯片堆叠结构,其中所述第二芯片的主动面朝向所述第一芯片的背面。
6.根据权利要求1所述的芯片堆叠结构,其中所述第一接触导体为硅穿孔。
7.根据权利要求1所述的芯片堆叠结构,还包括:
第二介电层,位于所述重布线层与所述第二接触导体之间。
8.根据权利要求7所述的芯片堆叠结构,其中所述第二接触导体暴露于所述第二介电层。
9.根据权利要求1所述的芯片堆叠结构,其中所述第一接触导体并未直接实体接触所述第一焊垫。
10.根据权利要求1所述的芯片堆叠结构,其中所述第二接触导体并未直接实体接触所述第二焊垫。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN202011054090.4A CN112164688B (zh) | 2017-07-21 | 2017-07-21 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201710600400.XA CN109285825B (zh) | 2017-07-21 | 2017-07-21 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
CN202011054090.4A CN112164688B (zh) | 2017-07-21 | 2017-07-21 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710600400.XA Division CN109285825B (zh) | 2017-07-21 | 2017-07-21 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN112164688A CN112164688A (zh) | 2021-01-01 |
CN112164688B true CN112164688B (zh) | 2023-06-13 |
Family
ID=65023433
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202011054090.4A Active CN112164688B (zh) | 2017-07-21 | 2017-07-21 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
CN201710600400.XA Active CN109285825B (zh) | 2017-07-21 | 2017-07-21 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201710600400.XA Active CN109285825B (zh) | 2017-07-21 | 2017-07-21 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
Country Status (2)
Country | Link |
---|---|
US (3) | US10325873B2 (zh) |
CN (2) | CN112164688B (zh) |
Families Citing this family (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2654074B1 (de) * | 2010-03-31 | 2016-10-26 | EV Group E. Thallner GmbH | Verfahren zum permanenten Verbinden zweier Metalloberflächen |
WO2018173764A1 (ja) * | 2017-03-21 | 2018-09-27 | 富士フイルム株式会社 | 積層デバイス、積層体および積層デバイスの製造方法 |
CN112164688B (zh) * | 2017-07-21 | 2023-06-13 | 联华电子股份有限公司 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
US10910345B2 (en) | 2019-05-02 | 2021-02-02 | Nanya Technology Corporation | Semiconductor device with stacked die device |
WO2021046744A1 (en) * | 2019-09-11 | 2021-03-18 | Yangtze Memory Technologies Co., Ltd. | Bonded semiconductor devices having processor and static random-access memory and methods for forming the same |
US11342307B2 (en) * | 2019-10-14 | 2022-05-24 | Nanya Technology Corporation | Semiconductor structure and manufacturing method thereof |
US11217560B2 (en) * | 2019-10-28 | 2022-01-04 | Nanya Technology Corporation | Die assembly and method of manufacturing the same |
CN111430336A (zh) * | 2020-04-07 | 2020-07-17 | 长江存储科技有限责任公司 | 集成半导体器件及其制作方法、以及半导体器件 |
CN112071762B (zh) * | 2020-08-10 | 2022-11-22 | 长江存储科技有限责任公司 | 一种半导体器件制作方法、半导体结构和半导体器件 |
CN113471083B (zh) * | 2021-09-03 | 2021-11-02 | 南通汇丰电子科技有限公司 | 一种半导体堆叠封装结构及其制备方法 |
CN114005778B (zh) * | 2021-12-24 | 2022-03-22 | 湖北三维半导体集成创新中心有限责任公司 | 键合系统和键合补偿方法 |
US20230378016A1 (en) * | 2022-05-23 | 2023-11-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Techniques for heat dispersion in 3d integrated circuit |
Citations (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2075828A1 (en) * | 2007-12-27 | 2009-07-01 | Interuniversitair Microelektronica Centrum (IMEC) | Semiconductor device and a method for aligining and bonding a first and second element for the fabrication of a semiconductor device |
CN102341907A (zh) * | 2009-04-20 | 2012-02-01 | 国际商业机器公司 | 使用先介电键合后通孔形成的三维集成电路的集成 |
WO2012013162A1 (zh) * | 2010-07-30 | 2012-02-02 | 昆山智拓达电子科技有限公司 | 一种硅通孔互连结构及其制造方法 |
CN102738025A (zh) * | 2011-03-31 | 2012-10-17 | Soitec公司 | 形成键合半导体结构的方法和用该方法形成的半导体结构 |
CN103794584A (zh) * | 2012-10-31 | 2014-05-14 | 台湾积体电路制造股份有限公司 | 用于半导体晶圆的混合接合机制 |
CN104718611A (zh) * | 2012-06-08 | 2015-06-17 | 伊文萨思公司 | 减少应力的tsv和插入结构 |
CN104752337A (zh) * | 2013-12-30 | 2015-07-01 | 国际商业机器公司 | 半导体结构及其形成方法 |
CN105097777A (zh) * | 2014-04-21 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制备方法 |
CN105390464A (zh) * | 2014-08-27 | 2016-03-09 | 三星电子株式会社 | 半导体装置和制造半导体装置的方法 |
CN105489579A (zh) * | 2014-10-06 | 2016-04-13 | 爱思开海力士有限公司 | 半导体封装体 |
CN106711131A (zh) * | 2015-11-12 | 2017-05-24 | 台湾积体电路制造股份有限公司 | 半导体封装件及其形成方法 |
CN107408516A (zh) * | 2015-02-11 | 2017-11-28 | 应美盛股份有限公司 | 使用Al‑Ge共晶接合连接组件的3D集成 |
Family Cites Families (227)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3920399B2 (ja) * | 1997-04-25 | 2007-05-30 | 株式会社東芝 | マルチチップ半導体装置用チップの位置合わせ方法、およびマルチチップ半導体装置の製造方法・製造装置 |
US6429509B1 (en) * | 1999-05-03 | 2002-08-06 | United Microelectronics Corporation | Integrated circuit with improved interconnect structure and process for making same |
US6258625B1 (en) * | 1999-05-18 | 2001-07-10 | International Business Machines Corporation | Method of interconnecting electronic components using a plurality of conductive studs |
US6593645B2 (en) * | 1999-09-24 | 2003-07-15 | United Microelectronics Corp. | Three-dimensional system-on-chip structure |
KR100364635B1 (ko) * | 2001-02-09 | 2002-12-16 | 삼성전자 주식회사 | 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법 |
US20020163072A1 (en) * | 2001-05-01 | 2002-11-07 | Subhash Gupta | Method for bonding wafers to produce stacked integrated circuits |
JP3895987B2 (ja) * | 2001-12-27 | 2007-03-22 | 株式会社東芝 | 半導体装置およびその製造方法 |
US6762076B2 (en) * | 2002-02-20 | 2004-07-13 | Intel Corporation | Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices |
US6642081B1 (en) * | 2002-04-11 | 2003-11-04 | Robert Patti | Interlocking conductor method for bonding wafers to produce stacked integrated circuits |
US7354798B2 (en) * | 2002-12-20 | 2008-04-08 | International Business Machines Corporation | Three-dimensional device fabrication method |
JP4289146B2 (ja) * | 2003-03-27 | 2009-07-01 | セイコーエプソン株式会社 | 三次元実装型半導体装置の製造方法 |
JP3891299B2 (ja) * | 2003-05-06 | 2007-03-14 | セイコーエプソン株式会社 | 半導体装置の製造方法、半導体装置、半導体デバイス、電子機器 |
US7111149B2 (en) * | 2003-07-07 | 2006-09-19 | Intel Corporation | Method and apparatus for generating a device ID for stacked devices |
KR100537892B1 (ko) * | 2003-08-26 | 2005-12-21 | 삼성전자주식회사 | 칩 스택 패키지와 그 제조 방법 |
JP4340517B2 (ja) * | 2003-10-30 | 2009-10-07 | Okiセミコンダクタ株式会社 | 半導体装置及びその製造方法 |
KR100574957B1 (ko) * | 2003-11-21 | 2006-04-28 | 삼성전자주식회사 | 수직으로 적층된 다기판 집적 회로 장치 및 그 제조방법 |
US7060601B2 (en) * | 2003-12-17 | 2006-06-13 | Tru-Si Technologies, Inc. | Packaging substrates for integrated circuits and soldering methods |
KR100618837B1 (ko) * | 2004-06-22 | 2006-09-01 | 삼성전자주식회사 | 웨이퍼 레벨 패키지를 위한 얇은 웨이퍼들의 스택을형성하는 방법 |
CN101048868B (zh) * | 2004-08-20 | 2010-06-09 | 佐伊科比株式会社 | 具有三维层叠结构的半导体器件的制造方法 |
US7326629B2 (en) * | 2004-09-10 | 2008-02-05 | Agency For Science, Technology And Research | Method of stacking thin substrates by transfer bonding |
JP4433298B2 (ja) * | 2004-12-16 | 2010-03-17 | パナソニック株式会社 | 多段構成半導体モジュール |
US7485968B2 (en) * | 2005-08-11 | 2009-02-03 | Ziptronix, Inc. | 3D IC method and device |
JP4869664B2 (ja) * | 2005-08-26 | 2012-02-08 | 本田技研工業株式会社 | 半導体装置の製造方法 |
US20070145367A1 (en) * | 2005-12-27 | 2007-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional integrated circuit structure |
SG133445A1 (en) * | 2005-12-29 | 2007-07-30 | Micron Technology Inc | Methods for packaging microelectronic devices and microelectronic devices formed using such methods |
US7626257B2 (en) | 2006-01-18 | 2009-12-01 | Infineon Technologies Ag | Semiconductor devices and methods of manufacture thereof |
US20070207592A1 (en) * | 2006-03-03 | 2007-09-06 | Lu James J | Wafer bonding of damascene-patterned metal/adhesive redistribution layers |
US7898095B2 (en) * | 2006-03-20 | 2011-03-01 | Tezzaron Semiconductor, Inc. | Fiducial scheme adapted for stacked integrated circuits |
US7385283B2 (en) * | 2006-06-27 | 2008-06-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three dimensional integrated circuit and method of making the same |
US7750488B2 (en) * | 2006-07-10 | 2010-07-06 | Tezzaron Semiconductor, Inc. | Method for bonding wafers to produce stacked integrated circuits |
US7427803B2 (en) * | 2006-09-22 | 2008-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Electromagnetic shielding using through-silicon vias |
US8736039B2 (en) * | 2006-10-06 | 2014-05-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked structures and methods of forming stacked structures |
US7879711B2 (en) * | 2006-11-28 | 2011-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked structures and methods of fabricating stacked structures |
US7812459B2 (en) * | 2006-12-19 | 2010-10-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional integrated circuits with protection layers |
KR100880242B1 (ko) * | 2007-01-16 | 2009-01-28 | 삼성전자주식회사 | 반도체 소자 적층 패키지 및 그 형성 방법 |
US7494846B2 (en) * | 2007-03-09 | 2009-02-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Design techniques for stacking identical memory dies |
US7598523B2 (en) * | 2007-03-19 | 2009-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Test structures for stacking dies having through-silicon vias |
US7795735B2 (en) * | 2007-03-21 | 2010-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Methods for forming single dies with multi-layer interconnect structures and structures formed therefrom |
US8110930B2 (en) * | 2007-06-19 | 2012-02-07 | Intel Corporation | Die backside metallization and surface activated bonding for stacked die packages |
US7939941B2 (en) * | 2007-06-27 | 2011-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of through via before contact processing |
KR100881199B1 (ko) * | 2007-07-02 | 2009-02-05 | 삼성전자주식회사 | 관통전극을 구비하는 반도체 장치 및 이를 제조하는 방법 |
TWI362102B (en) * | 2007-07-11 | 2012-04-11 | Ind Tech Res Inst | Three-dimensional dice-stacking package structure and method for manufactruing the same |
US8193092B2 (en) * | 2007-07-31 | 2012-06-05 | Micron Technology, Inc. | Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices |
US20090051046A1 (en) * | 2007-08-24 | 2009-02-26 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method for the same |
JP2009239256A (ja) * | 2008-03-03 | 2009-10-15 | Panasonic Corp | 半導体装置及びその製造方法 |
US7872357B2 (en) * | 2008-03-05 | 2011-01-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Protection for bonding pads and methods of formation |
US7803714B2 (en) * | 2008-03-31 | 2010-09-28 | Freescale Semiconductor, Inc. | Semiconductor through silicon vias of variable size and method of formation |
JP2009277719A (ja) * | 2008-05-12 | 2009-11-26 | Nec Electronics Corp | 半導体装置及びその製造方法 |
US8853830B2 (en) | 2008-05-14 | 2014-10-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | System, structure, and method of manufacturing a semiconductor substrate stack |
US8253230B2 (en) * | 2008-05-15 | 2012-08-28 | Micron Technology, Inc. | Disabling electrical connections using pass-through 3D interconnects and associated systems and methods |
KR101458958B1 (ko) * | 2008-06-10 | 2014-11-13 | 삼성전자주식회사 | 반도체 칩, 반도체 패키지 및 반도체 칩의 제조 방법 |
US8035198B2 (en) * | 2008-08-08 | 2011-10-11 | International Business Machines Corporation | Through wafer via and method of making same |
US8618670B2 (en) * | 2008-08-15 | 2013-12-31 | Qualcomm Incorporated | Corrosion control of stacked integrated circuits |
US8227295B2 (en) * | 2008-10-16 | 2012-07-24 | Texas Instruments Incorporated | IC die having TSV and wafer level underfill and stacked IC devices comprising a workpiece solder connected to the TSV |
US8030780B2 (en) * | 2008-10-16 | 2011-10-04 | Micron Technology, Inc. | Semiconductor substrates with unitary vias and via terminals, and associated systems and methods |
US8053900B2 (en) * | 2008-10-21 | 2011-11-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Through-substrate vias (TSVs) electrically connected to a bond pad design with reduced dishing effect |
KR20100045857A (ko) * | 2008-10-24 | 2010-05-04 | 삼성전자주식회사 | 반도체 칩, 스택 모듈, 메모리 카드 및 반도체 칩의 제조 방법 |
US8158456B2 (en) * | 2008-12-05 | 2012-04-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming stacked dies |
US8227889B2 (en) * | 2008-12-08 | 2012-07-24 | United Microelectronics Corp. | Semiconductor device |
US8513119B2 (en) * | 2008-12-10 | 2013-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming bump structure having tapered sidewalls for stacked dies |
US7943428B2 (en) * | 2008-12-24 | 2011-05-17 | International Business Machines Corporation | Bonded semiconductor substrate including a cooling mechanism |
US8097964B2 (en) * | 2008-12-29 | 2012-01-17 | Texas Instruments Incorporated | IC having TSV arrays with reduced TSV induced stress |
US8749027B2 (en) * | 2009-01-07 | 2014-06-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Robust TSV structure |
US8501587B2 (en) * | 2009-01-13 | 2013-08-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked integrated chips and methods of fabrication thereof |
US7839163B2 (en) * | 2009-01-22 | 2010-11-23 | International Business Machines Corporation | Programmable through silicon via |
US8314483B2 (en) * | 2009-01-26 | 2012-11-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | On-chip heat spreader |
US8299583B2 (en) * | 2009-03-05 | 2012-10-30 | International Business Machines Corporation | Two-sided semiconductor structure |
US8487444B2 (en) * | 2009-03-06 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional system-in-package architecture |
US7998860B2 (en) * | 2009-03-12 | 2011-08-16 | Micron Technology, Inc. | Method for fabricating semiconductor components using maskless back side alignment to conductive vias |
US8552563B2 (en) * | 2009-04-07 | 2013-10-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional semiconductor architecture |
US8691664B2 (en) * | 2009-04-20 | 2014-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Backside process for a substrate |
EP2460180B1 (en) * | 2009-07-30 | 2020-02-19 | QUALCOMM Incorporated | System-in packages |
US8198174B2 (en) * | 2009-08-05 | 2012-06-12 | International Business Machines Corporation | Air channel interconnects for 3-D integration |
US8242543B2 (en) * | 2009-08-26 | 2012-08-14 | Qualcomm Incorporated | Semiconductor wafer-to-wafer bonding for dissimilar semiconductor dies and/or wafers |
US8487429B2 (en) * | 2009-09-22 | 2013-07-16 | Oracle America, Inc. | Assembly of multi-chip modules using sacrificial features |
US8791549B2 (en) * | 2009-09-22 | 2014-07-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wafer backside interconnect structure connected to TSVs |
EP2302403A1 (en) * | 2009-09-28 | 2011-03-30 | Imec | Method and device for testing TSVs in a 3D chip stack |
US8647925B2 (en) * | 2009-10-01 | 2014-02-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Surface modification for handling wafer thinning process |
US8698321B2 (en) * | 2009-10-07 | 2014-04-15 | Qualcomm Incorporated | Vertically stackable dies having chip identifier structures |
US8242604B2 (en) * | 2009-10-28 | 2012-08-14 | International Business Machines Corporation | Coaxial through-silicon via |
US8841777B2 (en) * | 2010-01-12 | 2014-09-23 | International Business Machines Corporation | Bonded structure employing metal semiconductor alloy bonding |
US9219023B2 (en) * | 2010-01-19 | 2015-12-22 | Globalfoundries Inc. | 3D chip stack having encapsulated chip-in-chip |
US8748288B2 (en) * | 2010-02-05 | 2014-06-10 | International Business Machines Corporation | Bonded structure with enhanced adhesion strength |
US10297550B2 (en) * | 2010-02-05 | 2019-05-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D IC architecture with interposer and interconnect structure for bonding dies |
US8252682B2 (en) * | 2010-02-12 | 2012-08-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for thinning a wafer |
JP5853351B2 (ja) * | 2010-03-25 | 2016-02-09 | ソニー株式会社 | 半導体装置、半導体装置の製造方法、及び電子機器 |
US8466059B2 (en) * | 2010-03-30 | 2013-06-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-layer interconnect structure for stacked dies |
US20110241185A1 (en) * | 2010-04-05 | 2011-10-06 | International Business Machines Corporation | Signal shielding through-substrate vias for 3d integration |
US8546188B2 (en) * | 2010-04-09 | 2013-10-01 | International Business Machines Corporation | Bow-balanced 3D chip stacking |
KR20110134198A (ko) * | 2010-06-08 | 2011-12-14 | 삼성전자주식회사 | 관통 전극을 갖는 반도체 장치 |
US8411459B2 (en) * | 2010-06-10 | 2013-04-02 | Taiwan Semiconductor Manufacturing Company, Ltd | Interposer-on-glass package structures |
JP5606182B2 (ja) * | 2010-06-30 | 2014-10-15 | キヤノン株式会社 | 固体撮像装置 |
US8928159B2 (en) * | 2010-09-02 | 2015-01-06 | Taiwan Semiconductor Manufacturing & Company, Ltd. | Alignment marks in substrate having through-substrate via (TSV) |
JP5183708B2 (ja) * | 2010-09-21 | 2013-04-17 | 株式会社日立製作所 | 半導体装置およびその製造方法 |
US9190325B2 (en) * | 2010-09-30 | 2015-11-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | TSV formation |
TWI429055B (zh) * | 2010-10-07 | 2014-03-01 | Advanced Semiconductor Eng | 堆疊式封裝結構及其製造方法 |
KR101690487B1 (ko) * | 2010-11-08 | 2016-12-28 | 삼성전자주식회사 | 반도체 장치 및 제조 방법 |
TWI416706B (zh) * | 2010-12-20 | 2013-11-21 | Univ Nat Chiao Tung | 三維積體電路的靜電放電防護結構 |
US8546961B2 (en) * | 2011-01-10 | 2013-10-01 | International Business Machines Corporation | Alignment marks to enable 3D integration |
US8921976B2 (en) * | 2011-01-25 | 2014-12-30 | Stmicroelectronics, Inc. | Using backside passive elements for multilevel 3D wafers alignment applications |
US8563396B2 (en) * | 2011-01-29 | 2013-10-22 | International Business Machines Corporation | 3D integration method using SOI substrates and structures produced thereby |
US20120193785A1 (en) * | 2011-02-01 | 2012-08-02 | Megica Corporation | Multichip Packages |
TWI467695B (zh) * | 2011-03-24 | 2015-01-01 | Sony Corp | 半導體裝置及其製造方法 |
KR20120133057A (ko) * | 2011-05-30 | 2012-12-10 | 삼성전자주식회사 | 반도체 패키지 및 그 제조방법 |
US8900994B2 (en) * | 2011-06-09 | 2014-12-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for producing a protective structure |
US8546886B2 (en) * | 2011-08-24 | 2013-10-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Controlling the device performance by forming a stressed backside dielectric layer |
US8669780B2 (en) * | 2011-10-31 | 2014-03-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three dimensional integrated circuit connection structure and method |
JP5925006B2 (ja) * | 2012-03-26 | 2016-05-25 | ルネサスエレクトロニクス株式会社 | 半導体集積回路装置の製造方法 |
US8563403B1 (en) * | 2012-06-27 | 2013-10-22 | International Business Machines Corporation | Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last |
US8895360B2 (en) * | 2012-07-31 | 2014-11-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated semiconductor device and wafer level method of fabricating the same |
JP6128787B2 (ja) * | 2012-09-28 | 2017-05-17 | キヤノン株式会社 | 半導体装置 |
US8912844B2 (en) * | 2012-10-09 | 2014-12-16 | United Microelectronics Corp. | Semiconductor structure and method for reducing noise therein |
KR101334220B1 (ko) * | 2012-11-16 | 2013-11-29 | (주)실리콘화일 | 버팅 콘택 방식을 이용한 웨이퍼 간의 전기적 연결방법 및 이를 이용하여 구현한 반도체 장치 |
US20140151895A1 (en) * | 2012-12-05 | 2014-06-05 | Texas Instruments Incorporated | Die having through-substrate vias with deformation protected tips |
JP6017297B2 (ja) * | 2012-12-14 | 2016-10-26 | オリンパス株式会社 | 半導体装置の製造方法 |
US9123789B2 (en) * | 2013-01-23 | 2015-09-01 | United Microelectronics Corp. | Chip with through silicon via electrode and method of forming the same |
US8933540B2 (en) * | 2013-02-28 | 2015-01-13 | International Business Machines Corporation | Thermal via for 3D integrated circuits structures |
US9443796B2 (en) * | 2013-03-15 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Air trench in packages incorporating hybrid bonding |
US9093462B2 (en) * | 2013-05-06 | 2015-07-28 | Qualcomm Incorporated | Electrostatic discharge diode |
WO2014184988A1 (ja) * | 2013-05-16 | 2014-11-20 | パナソニックIpマネジメント株式会社 | 半導体装置及びその製造方法 |
US9064937B2 (en) * | 2013-05-30 | 2015-06-23 | International Business Machines Corporation | Substrate bonding with diffusion barrier structures |
WO2014196105A1 (ja) * | 2013-06-03 | 2014-12-11 | パナソニックIpマネジメント株式会社 | 半導体装置及びその製造方法 |
US8860229B1 (en) * | 2013-07-16 | 2014-10-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid bonding with through substrate via (TSV) |
US9087821B2 (en) * | 2013-07-16 | 2015-07-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid bonding with through substrate via (TSV) |
US9299640B2 (en) * | 2013-07-16 | 2016-03-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Front-to-back bonding with through-substrate via (TSV) |
US9929050B2 (en) * | 2013-07-16 | 2018-03-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanisms for forming three-dimensional integrated circuit (3DIC) stacking structure |
US9449898B2 (en) * | 2013-07-31 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device having backside interconnect structure through substrate via and method of forming the same |
US9355892B2 (en) * | 2013-09-09 | 2016-05-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit structure with active and passive devices in different tiers |
US9214398B2 (en) * | 2013-09-09 | 2015-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Backside contacts for integrated circuit devices |
US20150069609A1 (en) * | 2013-09-12 | 2015-03-12 | International Business Machines Corporation | 3d chip crackstop |
KR102136845B1 (ko) * | 2013-09-16 | 2020-07-23 | 삼성전자 주식회사 | 적층형 이미지 센서 및 그 제조방법 |
WO2015040784A1 (ja) * | 2013-09-17 | 2015-03-26 | パナソニックIpマネジメント株式会社 | 半導体装置及びその製造方法 |
WO2015040798A1 (ja) * | 2013-09-20 | 2015-03-26 | パナソニックIpマネジメント株式会社 | 半導体装置及びその製造方法 |
US9165829B2 (en) * | 2013-10-02 | 2015-10-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Double sided NMOS/PMOS structure and methods of forming the same |
US9165926B2 (en) * | 2013-10-02 | 2015-10-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dynamic threshold MOS and methods of forming the same |
TWI676279B (zh) * | 2013-10-04 | 2019-11-01 | 新力股份有限公司 | 半導體裝置及固體攝像元件 |
KR102143518B1 (ko) * | 2013-10-16 | 2020-08-11 | 삼성전자 주식회사 | 칩 적층 반도체 패키지 및 그 제조 방법 |
US9257399B2 (en) * | 2013-10-17 | 2016-02-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3D integrated circuit and methods of forming the same |
US9786580B2 (en) * | 2013-11-15 | 2017-10-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-alignment for redistribution layer |
JP6380946B2 (ja) * | 2013-11-18 | 2018-08-29 | ローム株式会社 | 半導体装置および半導体装置の製造方法 |
EP2889900B1 (en) * | 2013-12-19 | 2019-11-06 | IMEC vzw | Method for aligning micro-electronic components using an alignment liquid and electrostatic alignment as well as corresponding assembly of aligned micro-electronic components |
US9543229B2 (en) * | 2013-12-27 | 2017-01-10 | International Business Machines Corporation | Combination of TSV and back side wiring in 3D integration |
US9293437B2 (en) * | 2014-02-20 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Functional block stacked 3DIC and method of making same |
JP6611703B2 (ja) * | 2014-03-12 | 2019-11-27 | 株式会社ThruChip Japan | 積層半導体集積回路装置 |
KR102258739B1 (ko) * | 2014-03-26 | 2021-06-02 | 삼성전자주식회사 | 하이브리드 적층 구조를 갖는 반도체 소자 및 그 제조방법 |
US9230941B2 (en) * | 2014-03-28 | 2016-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bonding structure for stacked semiconductor devices |
US9299736B2 (en) * | 2014-03-28 | 2016-03-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Hybrid bonding with uniform pattern density |
US9343369B2 (en) * | 2014-05-19 | 2016-05-17 | Qualcomm Incorporated | Three dimensional (3D) integrated circuits (ICs) (3DICs) and related systems |
US9455158B2 (en) * | 2014-05-30 | 2016-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3DIC interconnect devices and methods of forming same |
US9449914B2 (en) * | 2014-07-17 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked integrated circuits with redistribution lines |
US10079224B2 (en) * | 2014-08-11 | 2018-09-18 | Massachusetts Institute Of Technology | Interconnect structures for assembly of semiconductor structures including at least one integrated circuit structure |
US9793243B2 (en) * | 2014-08-13 | 2017-10-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buffer layer(s) on a stacked structure having a via |
US20160093583A1 (en) * | 2014-09-25 | 2016-03-31 | Micron Technology, Inc. | Bond pad with micro-protrusions for direct metallic bonding |
US9356001B2 (en) * | 2014-10-02 | 2016-05-31 | HGST Netherlands B.V. | Semiconductor device with at least one voltage-guided conductive filament |
KR102360381B1 (ko) * | 2014-12-01 | 2022-02-11 | 삼성전자주식회사 | 적층 구조를 갖는 반도체 소자 및 그 제조방법 |
US9953957B2 (en) * | 2015-03-05 | 2018-04-24 | Invensas Corporation | Embedded graphite heat spreader for 3DIC |
US9461017B1 (en) * | 2015-04-13 | 2016-10-04 | Globalfoundries Inc. | Electronic package that includes a plurality of integrated circuit devices bonded in a three-dimensional stack arrangement |
JP6515724B2 (ja) * | 2015-07-31 | 2019-05-22 | 富士通株式会社 | 半導体装置 |
US9583465B1 (en) * | 2015-08-31 | 2017-02-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three dimensional integrated circuit structure and manufacturing method of the same |
US9553080B1 (en) | 2015-09-18 | 2017-01-24 | Globalfoundries Inc. | Method and process for integration of TSV-middle in 3D IC stacks |
US9899355B2 (en) * | 2015-09-30 | 2018-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three-dimensional integrated circuit structure |
US10163859B2 (en) * | 2015-10-21 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure and formation method for chip package |
US11037904B2 (en) * | 2015-11-24 | 2021-06-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Singulation and bonding methods and structures formed thereby |
US10147682B2 (en) * | 2015-11-30 | 2018-12-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Structure for stacked logic performance improvement |
US10014340B2 (en) * | 2015-12-28 | 2018-07-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Stacked SPAD image sensor |
US9972603B2 (en) * | 2015-12-29 | 2018-05-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seal-ring structure for stacking integrated circuits |
US9923011B2 (en) * | 2016-01-12 | 2018-03-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with stacked semiconductor dies |
KR102473664B1 (ko) * | 2016-01-19 | 2022-12-02 | 삼성전자주식회사 | Tsv 구조체를 가진 다중 적층 소자 |
US10050018B2 (en) * | 2016-02-26 | 2018-08-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | 3DIC structure and methods of forming |
US20170330855A1 (en) * | 2016-05-13 | 2017-11-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and Method for Immersion Bonding |
KR102467034B1 (ko) * | 2016-05-17 | 2022-11-14 | 삼성전자주식회사 | 반도체 패키지 |
US10037981B2 (en) * | 2016-05-18 | 2018-07-31 | Globalfoundries Inc. | Integrated display system with multi-color light emitting diodes (LEDs) |
KR102570582B1 (ko) * | 2016-06-30 | 2023-08-24 | 삼성전자 주식회사 | 반도체 패키지 및 그 제조 방법 |
US10332841B2 (en) * | 2016-07-20 | 2019-06-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | System on integrated chips and methods of forming the same |
US10510592B2 (en) * | 2016-07-25 | 2019-12-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated circuit (IC) structure for high performance and functional density |
US10163771B2 (en) * | 2016-08-08 | 2018-12-25 | Qualcomm Incorporated | Interposer device including at least one transistor and at least one through-substrate via |
US10580735B2 (en) * | 2016-10-07 | 2020-03-03 | Xcelsis Corporation | Stacked IC structure with system level wiring on multiple sides of the IC die |
US11158598B1 (en) * | 2016-10-10 | 2021-10-26 | Monolithic 3D Inc. | Method to construct 3D devices and systems |
US9666573B1 (en) * | 2016-10-26 | 2017-05-30 | Micron Technology, Inc. | Methods of forming integrated circuitry |
KR102524962B1 (ko) * | 2016-11-14 | 2023-04-21 | 삼성전자주식회사 | 기판 구조체 제조 방법 및 이를 이용하여 제조된 기판 구조체 |
US10163750B2 (en) * | 2016-12-05 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package structure for heat dissipation |
KR20180090494A (ko) * | 2017-02-03 | 2018-08-13 | 삼성전자주식회사 | 기판 구조체 제조 방법 |
TW201838094A (zh) * | 2017-02-16 | 2018-10-16 | 學校法人慶應義塾 | 層疊半導體積體電路裝置 |
JP6800788B2 (ja) * | 2017-03-15 | 2020-12-16 | キオクシア株式会社 | 半導体記憶装置 |
KR102283330B1 (ko) * | 2017-03-27 | 2021-08-02 | 삼성전자주식회사 | 반도체 소자 |
WO2018186191A1 (ja) * | 2017-04-04 | 2018-10-11 | ソニーセミコンダクタソリューションズ株式会社 | 固体撮像装置、及び電子機器 |
US10242967B2 (en) * | 2017-05-16 | 2019-03-26 | Raytheon Company | Die encapsulation in oxide bonded wafer stack |
CN109087906B (zh) * | 2017-06-13 | 2021-01-15 | 联华电子股份有限公司 | 电连接装置 |
CN112164688B (zh) * | 2017-07-21 | 2023-06-13 | 联华电子股份有限公司 | 芯片堆叠结构及管芯堆叠结构的制造方法 |
US10163864B1 (en) * | 2017-08-16 | 2018-12-25 | Globalfoundries Inc. | Vertically stacked wafers and methods of forming same |
KR102467845B1 (ko) * | 2017-10-24 | 2022-11-16 | 삼성전자주식회사 | 적층형 씨모스 이미지 센서 |
US10879214B2 (en) * | 2017-11-01 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Die stack structure and method of fabricating the same |
DE102018124695A1 (de) * | 2017-11-15 | 2019-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrieren von Passivvorrichtungen in Package-Strukturen |
US10685935B2 (en) * | 2017-11-15 | 2020-06-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming metal bonds with recesses |
US10388631B1 (en) * | 2018-01-29 | 2019-08-20 | Globalfoundries Inc. | 3D IC package with RDL interposer and related method |
US10510629B2 (en) * | 2018-05-18 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit package and method of forming same |
US10629592B2 (en) * | 2018-05-25 | 2020-04-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Through silicon via design for stacking integrated circuits |
US10734285B2 (en) * | 2018-06-28 | 2020-08-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bonding support structure (and related process) for wafer stacking |
US10672674B2 (en) * | 2018-06-29 | 2020-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming semiconductor device package having testing pads on a topmost die |
US10727205B2 (en) * | 2018-08-15 | 2020-07-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid bonding technology for stacking integrated circuits |
KR20200022214A (ko) * | 2018-08-22 | 2020-03-03 | 삼성전자주식회사 | 반도체 패키지 및 그의 제조 방법 |
US10796990B2 (en) * | 2018-09-19 | 2020-10-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure, package structure, and manufacturing method thereof |
US20200105720A1 (en) * | 2018-10-02 | 2020-04-02 | Globalfoundries Inc. | Stacked semiconductor devices and method of manufacturing the same |
US11171076B2 (en) * | 2018-10-10 | 2021-11-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Compute-in-memory packages and methods forming the same |
US11158573B2 (en) * | 2018-10-22 | 2021-10-26 | Invensas Bonding Technologies, Inc. | Interconnect structures |
KR102674029B1 (ko) * | 2018-10-26 | 2024-06-13 | 삼성전자주식회사 | 테스트 패드를 포함하는 반도체 패키지 |
US10861808B2 (en) * | 2018-11-21 | 2020-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bonding structure of dies with dangling bonds |
US11672111B2 (en) * | 2018-12-26 | 2023-06-06 | Ap Memory Technology Corporation | Semiconductor structure and method for manufacturing a plurality thereof |
US10910357B2 (en) * | 2019-03-21 | 2021-02-02 | Nanya Technology Corporation | Semiconductor package including hybrid bonding structure and method for preparing the same |
US10910345B2 (en) * | 2019-05-02 | 2021-02-02 | Nanya Technology Corporation | Semiconductor device with stacked die device |
CN110137096A (zh) * | 2019-05-17 | 2019-08-16 | 武汉新芯集成电路制造有限公司 | 一种键合结构及其制造方法 |
US11404534B2 (en) * | 2019-06-28 | 2022-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Backside capacitor techniques |
US11101240B2 (en) * | 2019-06-28 | 2021-08-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Isolation bonding film for semiconductor packages and methods of forming the same |
US11069608B2 (en) * | 2019-08-22 | 2021-07-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and manufacturing method thereof |
US11373981B2 (en) * | 2019-08-28 | 2022-06-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package and manufacturing method thereof |
US20210098381A1 (en) * | 2019-09-29 | 2021-04-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor structure and method manufacturing the same |
US11133304B2 (en) * | 2019-11-27 | 2021-09-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Packaging scheme involving metal-insulator-metal capacitor |
US11362065B2 (en) * | 2020-02-26 | 2022-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package and manufacturing method thereof |
US11437344B2 (en) * | 2020-03-27 | 2022-09-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wafer bonding method |
US11721663B2 (en) * | 2020-05-28 | 2023-08-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-level stacking of wafers and chips |
KR20220014364A (ko) * | 2020-07-23 | 2022-02-07 | 삼성전자주식회사 | 반도체 패키지 |
US11309291B2 (en) * | 2020-09-20 | 2022-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Die stack structure and manufacturing method thereof |
CN114975368A (zh) * | 2021-02-22 | 2022-08-30 | 联华电子股份有限公司 | 接合半导体结构及其制作方法 |
KR20230033397A (ko) * | 2021-09-01 | 2023-03-08 | 삼성전자주식회사 | 반도체 패키지 및 그 제조 방법 |
KR20230035171A (ko) * | 2021-09-03 | 2023-03-13 | 삼성전자주식회사 | 반도체 패키지 |
US20230142680A1 (en) * | 2021-10-28 | 2023-05-11 | Adeia Semiconductor Bonding Technologies Inc. | Stacked electronic devices |
KR20230129742A (ko) * | 2022-03-02 | 2023-09-11 | 삼성전자주식회사 | 반도체 패키지 |
-
2017
- 2017-07-21 CN CN202011054090.4A patent/CN112164688B/zh active Active
- 2017-07-21 CN CN201710600400.XA patent/CN109285825B/zh active Active
- 2017-08-09 US US15/673,223 patent/US10325873B2/en active Active
-
2019
- 2019-05-02 US US16/402,058 patent/US20190259725A1/en not_active Abandoned
-
2020
- 2020-10-20 US US17/075,530 patent/US20210057368A1/en active Pending
Patent Citations (12)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2075828A1 (en) * | 2007-12-27 | 2009-07-01 | Interuniversitair Microelektronica Centrum (IMEC) | Semiconductor device and a method for aligining and bonding a first and second element for the fabrication of a semiconductor device |
CN102341907A (zh) * | 2009-04-20 | 2012-02-01 | 国际商业机器公司 | 使用先介电键合后通孔形成的三维集成电路的集成 |
WO2012013162A1 (zh) * | 2010-07-30 | 2012-02-02 | 昆山智拓达电子科技有限公司 | 一种硅通孔互连结构及其制造方法 |
CN102738025A (zh) * | 2011-03-31 | 2012-10-17 | Soitec公司 | 形成键合半导体结构的方法和用该方法形成的半导体结构 |
CN104718611A (zh) * | 2012-06-08 | 2015-06-17 | 伊文萨思公司 | 减少应力的tsv和插入结构 |
CN103794584A (zh) * | 2012-10-31 | 2014-05-14 | 台湾积体电路制造股份有限公司 | 用于半导体晶圆的混合接合机制 |
CN104752337A (zh) * | 2013-12-30 | 2015-07-01 | 国际商业机器公司 | 半导体结构及其形成方法 |
CN105097777A (zh) * | 2014-04-21 | 2015-11-25 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制备方法 |
CN105390464A (zh) * | 2014-08-27 | 2016-03-09 | 三星电子株式会社 | 半导体装置和制造半导体装置的方法 |
CN105489579A (zh) * | 2014-10-06 | 2016-04-13 | 爱思开海力士有限公司 | 半导体封装体 |
CN107408516A (zh) * | 2015-02-11 | 2017-11-28 | 应美盛股份有限公司 | 使用Al‑Ge共晶接合连接组件的3D集成 |
CN106711131A (zh) * | 2015-11-12 | 2017-05-24 | 台湾积体电路制造股份有限公司 | 半导体封装件及其形成方法 |
Also Published As
Publication number | Publication date |
---|---|
CN109285825A (zh) | 2019-01-29 |
CN112164688A (zh) | 2021-01-01 |
US10325873B2 (en) | 2019-06-18 |
US20190027457A1 (en) | 2019-01-24 |
CN109285825B (zh) | 2021-02-05 |
US20190259725A1 (en) | 2019-08-22 |
US20210057368A1 (en) | 2021-02-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN112164688B (zh) | 芯片堆叠结构及管芯堆叠结构的制造方法 | |
US10790327B2 (en) | Semiconductor device structure with a conductive feature passing through a passivation layer | |
US11398405B2 (en) | Method and apparatus for back end of line semiconductor device processing | |
US11088020B2 (en) | Structure and formation method of interconnection structure of semiconductor device | |
US9646930B2 (en) | Semiconductor device having through-substrate vias | |
US9691733B1 (en) | Bonded semiconductor structure and method for forming the same | |
US8486823B2 (en) | Methods of forming through via | |
US12002761B2 (en) | Semiconductor device, stacked semiconductor device and manufacturing method of semiconductor device | |
CN113644039B (zh) | 半导体结构及其形成方法 | |
US11315904B2 (en) | Semiconductor assembly and method of manufacturing the same | |
US11916013B2 (en) | Via interconnects including super vias | |
US12094763B2 (en) | Metal-insulator-metal capacitor (MIMCAP) and methods of forming the same | |
TWI872503B (zh) | 內連線結構及其製造方法 | |
TW202510209A (zh) | 半導體結構及其形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |