CN112117263A - Semiconductor structure and manufacturing method thereof - Google Patents
Semiconductor structure and manufacturing method thereof Download PDFInfo
- Publication number
- CN112117263A CN112117263A CN202010461623.4A CN202010461623A CN112117263A CN 112117263 A CN112117263 A CN 112117263A CN 202010461623 A CN202010461623 A CN 202010461623A CN 112117263 A CN112117263 A CN 112117263A
- Authority
- CN
- China
- Prior art keywords
- semiconductor
- die
- layer
- carrier
- bonding
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 615
- 238000004519 manufacturing process Methods 0.000 title abstract description 11
- 239000000758 substrate Substances 0.000 claims abstract description 111
- 238000000034 method Methods 0.000 abstract description 98
- 239000010410 layer Substances 0.000 description 224
- 238000002955 isolation Methods 0.000 description 86
- 230000008569 process Effects 0.000 description 76
- 235000012431 wafers Nutrition 0.000 description 50
- 239000000463 material Substances 0.000 description 48
- 239000004020 conductor Substances 0.000 description 47
- 238000005538 encapsulation Methods 0.000 description 44
- 239000008393 encapsulating agent Substances 0.000 description 34
- 239000011810 insulating material Substances 0.000 description 25
- 239000011241 protective layer Substances 0.000 description 23
- 238000001465 metallisation Methods 0.000 description 20
- 229910052751 metal Inorganic materials 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- 230000015572 biosynthetic process Effects 0.000 description 14
- 238000005530 etching Methods 0.000 description 14
- 238000004891 communication Methods 0.000 description 13
- 239000003989 dielectric material Substances 0.000 description 13
- 229910052802 copper Inorganic materials 0.000 description 10
- 239000010949 copper Substances 0.000 description 10
- 238000012545 processing Methods 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 230000006870 function Effects 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- 229910052710 silicon Inorganic materials 0.000 description 9
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 8
- 238000004140 cleaning Methods 0.000 description 8
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 8
- 238000012360 testing method Methods 0.000 description 8
- 238000002161 passivation Methods 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 6
- 229910052737 gold Inorganic materials 0.000 description 6
- 239000010931 gold Substances 0.000 description 6
- 238000000227 grinding Methods 0.000 description 6
- 229920000642 polymer Polymers 0.000 description 5
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- 239000011521 glass Substances 0.000 description 4
- 230000000670 limiting effect Effects 0.000 description 4
- 102220060547 rs786203080 Human genes 0.000 description 4
- 229910052709 silver Inorganic materials 0.000 description 4
- 239000004332 silver Substances 0.000 description 4
- 229910000673 Indium arsenide Inorganic materials 0.000 description 3
- 239000004642 Polyimide Substances 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 230000005672 electromagnetic field Effects 0.000 description 3
- 238000005304 joining Methods 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 238000004806 packaging method and process Methods 0.000 description 3
- 230000000149 penetrating effect Effects 0.000 description 3
- 229920001721 polyimide Polymers 0.000 description 3
- 230000002829 reductive effect Effects 0.000 description 3
- 239000005368 silicate glass Substances 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- 229910010271 silicon carbide Inorganic materials 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910052718 tin Inorganic materials 0.000 description 3
- 238000012795 verification Methods 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- 229910000990 Ni alloy Inorganic materials 0.000 description 2
- 230000001070 adhesive effect Effects 0.000 description 2
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 2
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 239000000969 carrier Substances 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 238000013500 data storage Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 230000001747 exhibiting effect Effects 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 238000007726 management method Methods 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 238000000465 moulding Methods 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229910052763 palladium Inorganic materials 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 238000007639 printing Methods 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 150000004760 silicates Chemical class 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000002904 solvent Substances 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 230000035882 stress Effects 0.000 description 2
- 230000001360 synchronised effect Effects 0.000 description 2
- 230000008646 thermal stress Effects 0.000 description 2
- 241000737241 Cocos Species 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 239000004593 Epoxy Substances 0.000 description 1
- 229910000640 Fe alloy Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000013473 artificial intelligence Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000000903 blocking effect Effects 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- -1 combinations thereof Substances 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000000748 compression moulding Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000012777 electrically insulating material Substances 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 230000005686 electrostatic field Effects 0.000 description 1
- 238000004299 exfoliation Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000003292 glue Substances 0.000 description 1
- MSNOMDLPLDYDME-UHFFFAOYSA-N gold nickel Chemical compound [Ni].[Au] MSNOMDLPLDYDME-UHFFFAOYSA-N 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 238000003698 laser cutting Methods 0.000 description 1
- LQBJWKCYZGMFEV-UHFFFAOYSA-N lead tin Chemical compound [Sn].[Pb] LQBJWKCYZGMFEV-UHFFFAOYSA-N 0.000 description 1
- 239000000696 magnetic material Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- BSIDXUHWUKTRQL-UHFFFAOYSA-N nickel palladium Chemical compound [Ni].[Pd] BSIDXUHWUKTRQL-UHFFFAOYSA-N 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910000679 solder Inorganic materials 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000010998 test method Methods 0.000 description 1
- 239000011135 tin Substances 0.000 description 1
- 238000001721 transfer moulding Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of semiconductor or other solid state devices
- H01L25/03—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/065—Assemblies consisting of a plurality of semiconductor or other solid state devices all the devices being of a type provided for in a single subclass of subclasses H10B, H10F, H10H, H10K or H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H10D89/00
- H01L25/0657—Stacked arrangements of devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same main group of the same subclass of class H10, e.g. assemblies of rectifier diodes
- H01L2225/04—All the devices being of a type provided for in the same main group of the same subclass of class H10, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same main group of the same subclass of class H10
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06555—Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本揭露实施例是有关于一种半导体结构及其制作方法。一种半导体结构包括堆叠结构。堆叠结构包括第一半导体管芯及第二半导体管芯。第一半导体管芯包括具有第一有源表面及与第一有源表面相对的第一背表面的第一半导体衬底。第二半导体管芯位于第一半导体管芯之上,且包括具有第二有源表面及与第二有源表面相对的第二背表面的第二半导体衬底。第二半导体管芯通过沿垂直方向在第一混合接合界面处将第二有源表面结合到第一背表面而接合到第一半导体管芯。沿侧向方向,第一半导体管芯的第一尺寸大于第二半导体管芯的第二尺寸。
The disclosed embodiments relate to a semiconductor structure and a method for manufacturing the same. A semiconductor structure includes a stacked structure. The stacked structure includes a first semiconductor die and a second semiconductor die. The first semiconductor die includes a first semiconductor substrate having a first active surface and a first back surface opposite to the first active surface. The second semiconductor die is located above the first semiconductor die and includes a second semiconductor substrate having a second active surface and a second back surface opposite to the second active surface. The second semiconductor die is bonded to the first semiconductor die by bonding the second active surface to the first back surface at a first hybrid bonding interface in a vertical direction. In a lateral direction, a first dimension of the first semiconductor die is greater than a second dimension of the second semiconductor die.
Description
技术领域technical field
本揭露实施例是有关于一种半导体结构及其制作方法。Embodiments of the present disclosure relate to a semiconductor structure and a fabrication method thereof.
背景技术Background technique
近年来,由于各种电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度的持续提高,半导体行业已经历了快速增长。在很大程度上,集成密度的此种提高来自于最小特征大小(minimum feature size)的连续减小,此使得更多组件能够集成到给定面积中。举例来说,集成组件占用的面积接近于半导体晶片的表面;然而,在二维(two-dimensional,2D)集成电路形成中可实现的密度存在实体限制。举例来说,这些限制中的一个限制来自于随着半导体器件的数目增加,半导体器件之间的内连线的数目及长度显著增大。由于现有的集成电路设计规则要求在半导体结构中减小导电配线布局的节距,因此正不断努力开发用于形成半导体结构的新机制。In recent years, the semiconductor industry has experienced rapid growth due to the continued increase in the integration density of various electronic components (eg, transistors, diodes, resistors, capacitors, etc.). To a large extent, this increase in integration density comes from the continuous reduction in minimum feature size, which enables more components to be integrated into a given area. For example, integrated components occupy an area close to the surface of a semiconductor wafer; however, there is a physical limit to the density that can be achieved in two-dimensional (2D) integrated circuit formation. For example, one of these limitations arises from the dramatic increase in the number and length of interconnects between semiconductor devices as the number of semiconductor devices increases. As existing integrated circuit design rules require reducing the pitch of conductive wiring layouts in semiconductor structures, there is an ongoing effort to develop new mechanisms for forming semiconductor structures.
发明内容SUMMARY OF THE INVENTION
本揭露实施例提供一种半导体结构包括堆叠结构。所述堆叠结构包括第一半导体管芯及第二半导体管芯。所述第一半导体管芯包括具有第一有源表面及与所述第一有源表面相对的第一背表面的第一半导体衬底。所述第二半导体管芯位于所述第一半导体管芯之上,且包括具有第二有源表面及与所述第二有源表面相对的第二背表面的第二半导体衬底。所述第二半导体管芯通过沿垂直方向在第一混合接合界面处将所述第二有源表面结合到所述第一背表面而接合到所述第一半导体管芯。沿侧向方向,所述第一半导体管芯的第一尺寸大于所述第二半导体管芯的第二尺寸。Embodiments of the present disclosure provide a semiconductor structure including a stacked structure. The stacked structure includes a first semiconductor die and a second semiconductor die. The first semiconductor die includes a first semiconductor substrate having a first active surface and a first back surface opposite the first active surface. The second semiconductor die is located over the first semiconductor die and includes a second semiconductor substrate having a second active surface and a second back surface opposite the second active surface. The second semiconductor die is bonded to the first semiconductor die by bonding the second active surface to the first back surface at a first hybrid bonding interface in a vertical direction. In the lateral direction, the first dimension of the first semiconductor die is larger than the second dimension of the second semiconductor die.
附图说明Description of drawings
接合附图阅读以下详细说明,会最好地理解本公开的方面。注意,根据本行业中的标准惯例,各种特征并非按比例绘制。事实上,为使论述清晰起见,可任意增大或减小各种特征的尺寸。Aspects of the present disclosure are best understood when the following detailed description is read in conjunction with the accompanying drawings. Note that in accordance with standard practice in the industry, the various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
图1至图4是示出根据本公开一些实施例的半导体管芯制造方法中各种阶段的示意性横截面图。1-4 are schematic cross-sectional views illustrating various stages in a semiconductor die fabrication method according to some embodiments of the present disclosure.
图5至图24是示出根据本公开一些实施例的半导体结构制造方法中各种阶段的示意性横截面图。5-24 are schematic cross-sectional views illustrating various stages in a method of fabricating a semiconductor structure according to some embodiments of the present disclosure.
图25是示出根据本公开一些实施例的半导体结构的半导体管芯、载体管芯及绝缘包封体之间的相对位置的示意性俯视图。25 is a schematic top view illustrating the relative positions between a semiconductor die, a carrier die, and an insulating encapsulant of a semiconductor structure according to some embodiments of the present disclosure.
图26A是示出根据本公开一些实施例的在图11中所勾勒的虚线区域A中的半导体管芯与位于半导体管芯之下的载体管芯之间的接合界面的放大示意性横截面图。26A is an enlarged schematic cross-sectional view illustrating the bonding interface between the semiconductor die in the dashed region A outlined in FIG. 11 and the carrier die underlying the semiconductor die, according to some embodiments of the present disclosure .
图26B是示出根据本公开一些实施例的半导体管芯与位于半导体管芯之下的载体管芯之间的接合界面的放大示意性横截面图。26B is an enlarged schematic cross-sectional view illustrating a bonding interface between a semiconductor die and a carrier die underlying the semiconductor die in accordance with some embodiments of the present disclosure.
图27A是示出根据本公开一些实施例的在图17中所勾勒的虚线区域B中的管芯堆叠的相邻层级之间的接合界面的放大示意性横截面图。27A is an enlarged schematic cross-sectional view illustrating a bonding interface between adjacent levels of the die stack in the dashed region B outlined in FIG. 17, according to some embodiments of the present disclosure.
图27B是示出根据本公开一些实施例的管芯堆叠的相邻层级之间的接合界面的放大示意性横截面图。27B is an enlarged schematic cross-sectional view illustrating a bonding interface between adjacent levels of a die stack in accordance with some embodiments of the present disclosure.
图28A是示出根据本公开一些实施例的在图19中所勾勒的虚线区域C中的管芯堆叠的相邻层级之间的接合界面的放大示意性横截面图。28A is an enlarged schematic cross-sectional view illustrating the bonding interface between adjacent levels of the die stack in the dashed region C outlined in FIG. 19, according to some embodiments of the present disclosure.
图28B是示出根据本公开一些实施例的管芯堆叠的相邻层级之间的接合界面的放大示意性横截面图。28B is an enlarged schematic cross-sectional view illustrating a bonding interface between adjacent levels of a die stack in accordance with some embodiments of the present disclosure.
图28C是示出根据本公开一些实施例的管芯堆叠的相邻层级之间的接合界面的放大示意性横截面图。28C is an enlarged schematic cross-sectional view illustrating a bonding interface between adjacent levels of a die stack in accordance with some embodiments of the present disclosure.
图29A是示出根据本公开一些实施例的在图19中所勾勒的虚线区域D中的管芯堆叠的最外部层级的配置的放大示意性横截面图。29A is an enlarged schematic cross-sectional view illustrating the configuration of the outermost level of the die stack in the dashed region D outlined in FIG. 19, according to some embodiments of the present disclosure.
图29B是示出根据本公开一些实施例的管芯堆叠的最外部层级的配置的放大示意性横截面图。29B is an enlarged schematic cross-sectional view illustrating the configuration of the outermost level of the die stack in accordance with some embodiments of the present disclosure.
图30至图44是分别示出根据本公开一些实施例的半导体结构的示意性横截面图。30 to 44 are schematic cross-sectional views respectively illustrating semiconductor structures according to some embodiments of the present disclosure.
图45是示出根据本公开一些实施例的半导体结构的示意性横截面图。45 is a schematic cross-sectional view illustrating a semiconductor structure according to some embodiments of the present disclosure.
图46A及图46B是分别示出根据本公开一些实施例的半导体结构的半导体管芯、载体管芯及绝缘包封体之间的相对位置的示意性俯视图。46A and 46B are schematic top views, respectively, illustrating the relative positions among a semiconductor die, a carrier die, and an insulating encapsulant of a semiconductor structure according to some embodiments of the present disclosure.
图47是示出根据本公开一些实施例的半导体结构的示意性横截面图。47 is a schematic cross-sectional view illustrating a semiconductor structure according to some embodiments of the present disclosure.
图48A及图48B是分别示出根据本公开一些实施例的半导体结构的半导体管芯、载体管芯及绝缘包封体之间的相对位置的示意性俯视图。48A and 48B are schematic top views, respectively, illustrating the relative positions among a semiconductor die, a carrier die, and an insulating encapsulant of a semiconductor structure according to some embodiments of the present disclosure.
图49至图56是示出根据本公开一些实施例的半导体结构制造方法中各种阶段的示意性横截面图。49-56 are schematic cross-sectional views illustrating various stages in a method of fabricating a semiconductor structure according to some embodiments of the present disclosure.
图57是示出根据本公开一些实施例的半导体结构的半导体管芯、载体管芯及绝缘包封体之间的相对位置的示意性俯视图。57 is a schematic top view illustrating the relative positions between a semiconductor die, a carrier die, and an insulating encapsulant of a semiconductor structure according to some embodiments of the present disclosure.
图58是示出根据本公开一些实施例的半导体结构的应用的示意性横截面图。58 is a schematic cross-sectional view illustrating the application of a semiconductor structure according to some embodiments of the present disclosure.
[符号的说明][Explanation of symbols]
10A、10A’、10A”、10B’:半导体管芯10A, 10A', 10A", 10B': semiconductor die
10A”’、10B”:经薄化的半导体管芯10A"', 10B": Thinned semiconductor die
10A(1):第一层级/内部层级10A(1):
10A(2):第二层级/内部层级10A(2): Second Tier/Internal Tier
10A(T):最顶部层级10A(T): the topmost level
10A(T-1):第(T-1)层级/内部层级10A(T-1): Tier (T-1)/Internal Tier
10B:载体管芯/半导体管芯10B: Carrier Die/Semiconductor Die
10B(0):基础层级10B(0): Basic level
20、40:绝缘包封体20, 40: Insulation envelope
20’:绝缘材料20': Insulation material
20a、20b、S60:表面20a, 20b, S60: Surface
20S、40S、60S、70S、100S、110s、130s、130SW、150SW、230s、230SW、S5:侧壁20S, 40S, 60S, 70S, 100S, 110s, 130s, 130SW, 150SW, 230s, 230SW, S5: Sidewalls
30:导电端子30: Conductive terminal
31:凸块31: bump
32:金属顶盖32: Metal top cover
50、51、160、240:隔离层50, 51, 160, 240: isolation layer
50(0)、50(1)、50(T-1):隔离结构50(0), 50(1), 50(T-1): isolation structure
50a、51a:第一侧向部分50a, 51a: first lateral portion
50b、51b:第二侧向部分50b, 51b: second lateral portion
50c、51c:连接部分50c, 51c: Connection part
50c’、51c’:经平坦化的连接部分50c', 51c': flattened connection portion
60:电磁干扰屏蔽层60: EMI shielding layer
60A:电磁干扰屏蔽材料60A: EMI shielding material
70:保护层70: Protective layer
100:管芯堆叠100: Die stacking
100b、110b、110b’、110b”、110b”’、130b、160b、210b、210b’、210b”、230b、240b:底表面100b, 110b, 110b', 110b", 110b"', 130b, 160b, 210b, 210b', 210b", 230b, 240b: Bottom surface
110、210:半导体衬底110, 210: Semiconductor substrate
120、220:内连线结构120, 220: Internal wiring structure
130、230:导通孔130, 230: Via hole
140、DI1、DI2、DI3、DI4:介电层140, DI1, DI2, DI3, DI4: Dielectric layer
150:接合导体150: Bonded conductors
150a:接合垫150a: Bond pads
150b:接合通孔150b: Bonding Through Holes
A、B、C、D:虚线区域/虚线框A, B, C, D: dotted area/dotted box
AP:导电垫AP: Conductive pad
BE:斜面边缘BE: Beveled edge
BS:背侧BS: Dorsal
C1:第一组件C1: first component
C2:第二组件C2: Second component
CT:端子CT: Terminal
D1、D2:深度D1, D2: depth
FS:前侧FS: Front side
I-I、II-II、III-III、IV-IV:横截面线I-I, II-II, III-III, IV-IV: Cross Section Lines
IF1、IF2:接合界面IF1, IF2: bonding interface
MP:金属化图案MP: Metallized Pattern
OP:开口OP: open mouth
P1a、P1b、P1c、P1d、P2a、P2b、P2c、P2d、P3a、P3b、P3c、P3d、P4a、P4b、P4c、P4d、P5、P6、P7:半导体结构P1a, P1b, P1c, P1d, P2a, P2b, P2c, P2d, P3a, P3b, P3c, P3d, P4a, P4b, P4c, P4d, P5, P6, P7: Semiconductor structure
PL:钝化层PL: Passivation layer
R1、R2:凹陷R1, R2: Sag
RE:修圆边缘RE: rounded edges
S1、S3:前表面S1, S3: Front surface
S2、S4:背表面S2, S4: back surface
SC:组件总成SC: Component assembly
SE:尖锐边缘SE: sharp edge
SS1、SS2:堆叠结构SS1, SS2: Stacked structure
T1、T2、T3、T4、T5、T6、T10A、T10B、T50、T51、T60:厚度T1, T2, T3, T4, T5, T6, T10A, T10B, T50, T51, T60: Thickness
TB1、TB2、TB3、TB4:临时接合层TB1, TB2, TB3, TB4: Temporary bonding layers
TC1、TC2、TC3、TC4:临时载体TC1, TC2, TC3, TC4: Temporary carriers
TP1:胶带框架TP1: Tape Frame
UF:底胶层UF: Primer layer
W1、W2、W2’:半导体晶片W1, W2, W2': semiconductor wafers
W1’:经薄化的半导体晶片W1': Thinned semiconductor wafer
W10B、W100:宽度W10B, W100: Width
X、Y:方向X, Y: direction
Z:堆叠方向Z: stacking direction
具体实施方式Detailed ways
以下公开提供用于实施所提供主题的不同特征的许多不同实施例或实例。以下阐述组件、值、操作、材料、布置或类似要素的具体实例以简化本公开。当然,这些仅为实例且不旨在进行限制。可预期存在其他组件、值、操作、材料、布置或类似要素。举例来说,以下说明中将第一特征形成在第二特征“之上”或第二特征“上”可包括其中第一特征与第二特征被形成为直接接触的实施例,且也可包括其中第一特征与第二特征之间可形成有附加特征从而使得所述第一特征与所述第二特征可不直接接触的实施例。另外,本公开可能在各种实例中重复使用参考编号和/或字母。这种重复使用是出于简洁及清晰的目的,而不是自身指示所论述的各种实施例和/或配置之间的关系。The following disclosure provides many different embodiments or examples for implementing various features of the presented subject matter. Specific examples of components, values, operations, materials, arrangements or similar elements are set forth below to simplify the present disclosure. Of course, these are only examples and are not intended to be limiting. Other components, values, operations, materials, arrangements or similar elements are contemplated. For example, reference in the following description to forming a first feature "on" or "on" a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include Embodiments wherein additional features may be formed between the first and second features such that the first and second features may not be in direct contact. Additionally, the present disclosure may reuse reference numbers and/or letters in various instances. This re-use is for the purpose of brevity and clarity and is not itself indicative of the relationship between the various embodiments and/or configurations discussed.
此外,为易于说明,本文中可能使用例如“在…之下(beneath)”、“在…下方(below)”、“下部的(lower)”、“在…上方(above)”、“上部的(upper)”及类似用语等空间相对性用语来阐述图中所示的一个元件或特征与另一(其他)元件或特征的关系。所述空间相对性用语旨在除图中所绘示的取向外还囊括器件在使用或操作中的不同取向。装置可具有其他取向(旋转90度或处于其他取向),且本文中所使用的空间相对性描述语可同样相应地进行解释。Furthermore, for ease of description, for example, "beneath", "below", "lower", "above", "upper" may be used herein. (upper)" and similar terms to describe the relationship of one element or feature to another (other) element or feature shown in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may have other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
另外,为易于说明,本文中可能使用例如“第一(first)”、“第二(second)”、“第三(third)”及类似用语等用语来阐述图中所示的相似或不同的元件或特征,且可依据说明的存在次序或上下文互换地使用。Additionally, for ease of description, terms such as "first," "second," "third," and similar terms may be used herein to describe similar or different ones shown in the figures elements or features, and may be used interchangeably depending on the order in which they are presented or the context in which they are described.
本公开一些实施例还可包括其他特征及工艺。举例来说,可包括测试结构以帮助对三维(three-dimensional,3D)封装或三维集成电路(three-dimensional integratedcircuit,3DIC)器件进行验证测试。所述测试结构可包括例如在重布线层中或衬底上形成的测试垫(test pad),以便能够对3D封装或3DIC进行测试、使用探针和/或探针卡(probecard)以及进行类似操作。可对中间结构以及最终结构执行验证测试。另外,本文中所公开的结构及方法可与包含对已知良好管芯(known good die,KGD)进行中间验证的测试方法结合使用以提高良率(yield)并降低成本。Some embodiments of the present disclosure may also include other features and processes. For example, test structures may be included to facilitate verification testing of three-dimensional (3D) packages or three-dimensional integrated circuit (3DIC) devices. The test structures may include, for example, test pads formed in redistribution layers or on the substrate to enable testing of 3D packages or 3DICs, use of probes and/or probecards, and the like operate. Verification tests can be performed on intermediate structures as well as final structures. Additionally, the structures and methods disclosed herein can be used in conjunction with test methods that include intermediate verification of known good dies (KGDs) to improve yield and reduce cost.
图1至图4是示出根据本公开一些实施例的半导体管芯制造方法中各种阶段的示意性横截面图。参照图1,在一些实施例中,提供半导体晶片W1。在一些实施例中,半导体晶片W1包括彼此连接的多个半导体管芯10A’。举例来说,半导体管芯10A’中的每一者可包括集成电路器件(例如,逻辑管芯、存储器管芯、射频管芯、功率管理管芯、微机电系统(micro-electro-mechanical-system,MEMS)管芯、类似器件或这些的组合)。在一些实施例中,半导体晶片W1的厚度T1介于约720微米(μm)到约800μm范围内。1-4 are schematic cross-sectional views illustrating various stages in a semiconductor die fabrication method according to some embodiments of the present disclosure. Referring to FIG. 1 , in some embodiments, a semiconductor wafer W1 is provided. In some embodiments, semiconductor wafer W1 includes a plurality of semiconductor dies 10A' connected to each other. For example, each of the semiconductor dies 10A' may include an integrated circuit device (eg, a logic die, a memory die, a radio frequency die, a power management die, a micro-electro-mechanical-system , MEMS) die, similar devices, or a combination of these). In some embodiments, the thickness T1 of the semiconductor wafer W1 is in the range of about 720 micrometers (μm) to about 800 μm.
举例来说,半导体管芯10A’中的每一者包括半导体衬底110、内连线结构120、多个导通孔130、介电层140及多个接合导体150,半导体衬底110中形成有多个半导体器件(未示出),内连线结构120形成在半导体衬底110上,所述多个导通孔130形成在半导体衬底110中且延伸到内连线结构120内,介电层140形成在内连线结构120上且与半导体衬底110相对,所述多个接合导体150形成在内连线结构120之上且被介电层140侧向(laterally)覆盖。在一些实施例中,如图1中所示,半导体管芯10A’中的每一者具有前表面S1及与前表面S1相对的底表面110b’。接合导体150分布在前表面S1处,且被介电层140以可触及方式显露出,且底表面110b’可被视为远离内连线结构120及接合导体150的一侧。For example, each of the semiconductor dies 10A' includes a
在一些实施例中,半导体衬底110包括可为经掺杂的或未经掺杂的块状半导体、绝缘体上有半导体(semiconductor-on-insulator,SOI)衬底、其他支撑衬底(例如,石英、玻璃等)、其组合或类似物。在一些实施例中,半导体衬底110包括元素半导体(例如,呈晶体、多晶或非晶结构的硅或锗等)、化合物半导体(例如,碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟等)、合金半导体(例如,硅锗(silicon-germanium,SiGe)、磷砷化镓(gallium arsenide phosphide,GaAsP)、砷化铝铟(aluminum indium arsenide,AlInAs)、砷化铝镓(aluminum gallium arsenide,AlGaAs)、砷化镓铟(gallium indium arsenide,GaInAs)、磷化镓铟(gallium indium phosphide,GaInP)等)、其组合或其他合适的材料。举例来说,化合物半导体衬底可具有多层结构,或者衬底可包括多层化合物半导体结构。在一些实施例中,合金SiGe形成在硅衬底之上。在其他实施例中,SiGe衬底是应变式的。半导体衬底110可包括形成在其中或其上的多个半导体器件(未示出),且半导体器件可为或可包括有源器件(例如,晶体管、二极管等)和/或无源器件(例如,电容器、电阻器、电感器等)或其他合适的电组件。在一些实施例中,半导体器件形成在半导体衬底110的接近内连线结构120的一侧处。In some embodiments, the
半导体衬底110可包括在前道工序(front-end-of-line,FEOL)中形成的电路系统(未示出),且内连线结构120可在后道工序(back-end-of-line,BEOL)中形成。在一些实施例中,内连线结构120包括形成在半导体衬底110之上且覆盖半导体器件的层间介电(inter-layer dielectric,ILD)层以及形成在ILD层之上的金属间介电(inter-metallization dielectric,IMD)层。在一些实施例中,ILD层及IMD层由例如氧化物、二氧化硅、硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)、磷硅酸盐玻璃(phosphosilicate glass,PSG)、氟化硅酸盐玻璃(fluorinated silicate glass,FSG)、SiOxCy、旋涂玻璃、旋涂聚合物、硅碳材料、其化合物、其复合物、其组合或类似物等低介电常数(low-K)介电材料或极低介电常数(extreme low-K,ELK)材料形成。ILD层及IMD层可包括而不限于任何合适数目的介电材料层。The
在一些实施例中,在半导体衬底110上形成内连线结构120,内连线结构120包括一个或多个介电层(例如,图26A中所示介电层DI1)以及一个或多个金属化图案(例如,图26A中所示金属化图案MP)。金属化图案可嵌入介电层(例如,IMD层)中,且金属化图案(例如,金属线、金属通孔、金属垫、金属迹线等)可由例如铜、金、铝、类似物或其组合等导电材料形成。在一些实施例中,内连线结构120彼此电耦合到形成在半导体衬底110中和/或半导体衬底110上的半导体器件,且电耦合到外部组件(例如,多个测试垫、多个接合导体等)。举例来说,介电层中的金属化图案在半导体衬底110的半导体器件之间路由电信号。半导体器件与金属化图案进行内连以执行包括存储结构(例如,存储胞元)、处理结构、输入/输出电路系统或类似物在内的一种或多种功能。内连线结构120的最外层可为由例如氧化硅、氮化硅、低k介电质、聚酰亚胺、这些的组合或类似物等一种或多种合适的介电材料制成的钝化层(例如,图26A中所示钝化层PL)。在一些实施例中,半导体管芯10A’中的每一者包括设置在内连线结构120的顶部金属化图案之上且电耦合到顶部金属化图案的导电垫(例如,图26A中所示导电垫AP),且内连线结构120的钝化层可具有开口,所述开口暴露出导电垫的至少部分,以用于测试或用于进一步的电连接。In some embodiments, an
在一些实施例中,导通孔130形成为延伸到半导体衬底110中。导通孔130可与内连线结构120的金属化图案物理接触及电接触。举例来说,当最初形成导通孔130时,导通孔130嵌入半导体衬底110中且可不延伸到半导体衬底110的底表面110b’。也就是说,对于半导体晶片W1,导通孔130不被半导体衬底110以可触及的方式显露出。In some embodiments, vias 130 are formed to extend into
举例来说,导通孔130中的每一者可包含障壁材料(例如,TiN、Ta、TaN、Ti或类似物;未示出)及导电材料(例如,铜、钨、铝、银、其组合或类似物;未示出)。举例来说,障壁材料可形成在导电材料与半导体衬底110之间。For example, each of the
在替代实施例中,可进一步可选地在导通孔130的障壁材料与半导体衬底110之间形成介电衬垫(未示出)(例如,氮化硅、氧化物、聚合物、其组合等)。在一些实施例中,导通孔130是通过以下方式形成:在半导体衬底110中形成凹陷且分别在凹陷中沉积介电衬垫、障壁材料及导电材料,移除半导体衬底110上的过量材料。举例来说,半导体衬底110的多个凹陷内衬有介电衬垫,以便侧向分离(laterally separate)半导体衬底110和导通孔130。导通孔130可通过使用通孔优先(via-first)的方式来形成。举例来说,导通孔130是在内连线结构120的形成期间形成。作为另外一种选择,导通孔130可使用通孔最末(via-last)的方式来形成,且可在内连线结构120形成之后形成。本公开不限于此。In alternate embodiments, a dielectric liner (not shown) (eg, silicon nitride, oxide, polymer, other combination, etc.). In some embodiments, vias 130 are formed by forming recesses in
在一些实施例中,在内连线结构120上形成介电层140。举例来说,介电层140包括由介电材料(例如,氮化硅、氧化硅、高密度等离子体(high-density plasma,HDP)氧化物、四乙基正硅酸盐(tetra-ethyl-ortho-silicate,TEOS)、未经掺杂硅酸盐玻璃(undopedsilicate glass,USG)、类似物或其组合)形成的一个或多个层(例如,图26A中所示介电层DI2、DI3、DI4)。在一些实施例中,随后使用侧向覆盖接合导体150的介电层140来进行接合。应理解,视工艺要求而定,介电层140可包括夹置在介电材料层之间的刻蚀终止材料层(未示出)。举例来说,刻蚀终止材料层不同于上覆的或下伏的介电材料层。刻蚀终止材料层可由相对于上覆的或下伏的介电材料层具有高刻蚀选择性的材料形成,以便用于终止对介电材料层的刻蚀。稍后将结合图式详细阐述介电层140的结构。In some embodiments, a
在一些实施例中,在内连线结构120之上形成例如多个接合通孔(bond via)(例如,图26A中所示接合通孔150b)和/或多个接合垫(例如,图26A中所示接合垫150a)等的接合导体150,以提供与电路系统及半导体器件的外部电连接。在本公开中,接合导体150各自具有接合垫,所述接合垫上设置有两个或多于两个接合通孔。接合导体150可由例如铜、金、铝、类似物或其组合等导电材料形成。接合导体150可通过内连线结构120电耦合到半导体衬底110的半导体器件。接合导体150可与介电层140实质上齐平,以用于接合。以上实例是出于例示目的而提供,其他实施例可利用更少或附加的元件(例如,导电垫),且稍后将结合放大图来阐述半导体管芯的细节。换句话说,举例来说,也可说半导体晶片W1包括半导体衬底110、内连线结构120、导通孔130、介电层140及接合导体150,如图1中所示。In some embodiments, multiple bond vias (eg,
参照图2,在一些实施例中,通过临时接合层TB1将半导体晶片W1放置在临时载体TC1上。临时载体TC1的材料可包括玻璃、金属、陶瓷、硅、塑料、其组合、其多层或可在后续处理中为半导体晶片W1提供结构性支撑的其他合适的材料。在一些实施例中,临时载体TC1由玻璃制成,且用于将半导体晶片W1粘合到临时载体TC1的临时接合层TB1包括聚合物粘合剂层(例如,管芯贴合膜(die attach film,DAF))、例如光-热转换(light-to-heatconversion,LTHC)释放涂层、紫外(ultra-violet,UV)胶等在暴露于辐射源(例如,UV光或激光)时会降低或丧失其粘合性的紫外(UV)固化层。可使用其他合适的临时粘合剂。在一些实施例中,临时载体TC1是硅晶片,且临时接合层TB1包含含硅介电材料(例如,氧化硅、氮化硅等)或用于接合的其他合适的介电材料。举例来说,所述接合包括氧化物对氧化物接合(oxide-to-oxide bonding),且半导体晶片W1的介电层140接合到临时接合层TB1。作为另外一种选择,可省略临时接合层TB1。2, in some embodiments, semiconductor wafer W1 is placed on temporary carrier TC1 through temporary bonding layer TB1. The material of the temporary carrier TC1 may include glass, metal, ceramic, silicon, plastic, combinations thereof, multiple layers thereof, or other suitable materials that may provide structural support for the semiconductor wafer W1 during subsequent processing. In some embodiments, the temporary carrier TC1 is made of glass, and the temporary bonding layer TB1 used to bond the semiconductor wafer W1 to the temporary carrier TC1 includes a polymer adhesive layer (eg, a die attach film). film, DAF)), such as light-to-heat conversion (LTHC) release coatings, ultra-violet (UV) glues, etc., degrade when exposed to radiation sources (eg, UV light or lasers) Or an ultraviolet (UV) cured layer that loses its adhesive properties. Other suitable temporary adhesives may be used. In some embodiments, the temporary carrier TC1 is a silicon wafer, and the temporary bonding layer TB1 includes a silicon-containing dielectric material (eg, silicon oxide, silicon nitride, etc.) or other suitable dielectric material for bonding. For example, the bonding includes oxide-to-oxide bonding, and the
在一些实施例中,如图2中所示,将半导体晶片W1的前侧FS(例如,半导体管芯10A’的前表面S1)贴合到临时载体TC1,且半导体晶片W1的背侧BS(例如,半导体管芯10A’的底表面110b’)面朝上以用于后续处理。举例来说,前侧FS沿半导体衬底110及内连线结构120的堆叠方向Z与背侧BS相对。In some embodiments, as shown in FIG. 2 , the front side FS of the semiconductor wafer W1 (eg, the front surface S1 of the semiconductor die 10A′) is bonded to the temporary carrier TC1 , and the back side BS ( For example, the
参照图2及图3,在一些实施例中,通过例如刻蚀、研磨、化学机械抛光(chemicalmechanical polishing,CMP)工艺、其组合或其他合适的薄化技术,对半导体晶片W1进行薄化以形成经薄化的半导体晶片W1’。举例来说,在半导体晶片W1的背侧BS(例如,半导体管芯10A’的底表面110b’)上执行薄化工艺,以获得具有经减小的厚度T2的经薄化的半导体晶片W1’。也就是说,经薄化的半导体晶片W1’的减小的厚度T2小于半导体晶片W1的厚度T1。在一些实施例中,经减小的厚度T2介于约40μm到约200μm范围内。如图3中所示,在薄化工艺之后,导通孔130尚未通过经薄化的半导体晶片W1’的背侧BS(例如,半导体管芯10A”的底表面110b”)以可触及的方式显露出。换句话说,对于每一半导体管芯10A”,导通孔130的底表面130b不被半导体管芯10A”的底表面110b”以可触及的方式暴露出。2 and 3 , in some embodiments, the semiconductor wafer W1 is thinned to form a thinning process such as etching, grinding, chemical mechanical polishing (CMP), combinations thereof, or other suitable thinning techniques. Thinned semiconductor wafer W1'. For example, a thinning process is performed on the backside BS of the semiconductor wafer W1 (eg, the
继续参照图4,在一些实施例中,在晶片背侧薄化工艺之后,将经薄化的半导体晶片W1’安装在胶带框架TP1上。举例来说,将图3中所示结构翻转(例如,沿堆叠方向Z上下颠倒),从而使得经薄化的半导体晶片W1’的背侧BS(例如,半导体管芯10A”的(半导体衬底110的)底表面110b”)设置在胶带框架TP1上。接下来,可在临时载体TC1上执行剥离工艺(de-bonding process),以从经薄化的半导体晶片W1’释放。举例来说,在临时接合层TB1上施加外部能量(例如,UV光或激光)。作为另外一种选择,临时载体TC1的移除工艺可包括机械剥落工艺、研磨工艺、刻蚀工艺或类似工艺。在一些实施例中,使用合适的溶剂、清洁化学品或其他清洁技术执行清洁工艺以从经薄化的半导体晶片W1’移除临时接合层TB1的残留物。随后,在经薄化的半导体晶片W1’上执行单体化工艺(singulation process),以获得多个分离的各别半导体管芯10A”。如图4中所示,举例来说,所述分离的各别半导体管芯10A”各自具有前表面S1及底表面110b”。4, in some embodiments, after the wafer backside thinning process, the thinned semiconductor wafer W1' is mounted on the tape frame TP1. For example, the structure shown in FIG. 3 is turned upside down (eg, upside-down in the stacking direction Z) such that the backside BS of the thinned semiconductor wafer W1 ′ (eg, the semiconductor substrate of the semiconductor die 10A″ 110)
举例来说,在单体化工艺期间,胶带框架TP1将经薄化的半导体晶片W1’保持置位,且可使用切割工具(例如,锯)沿切割道(scribe line)(未示出)切穿经薄化的半导体晶片W1’。在其他实施例中,在安装在胶带框架TP1上之前执行单体化工艺。在一些实施例中,在切割/单体化之前,通过探测(probing)来测试包括在经薄化的半导体晶片W1’中的半导体管芯10A”的功能及性能,且从被测试的半导体管芯10A”中仅选择已知良好管芯(KGD)并用于后续处理。For example, during the singulation process, tape frame TP1 holds thinned semiconductor wafer W1' in place, and can be cut along scribe lines (not shown) using a dicing tool (eg, a saw) The thinned semiconductor wafer W1' is passed through. In other embodiments, the singulation process is performed prior to mounting on the tape frame TP1. In some embodiments, the function and performance of the semiconductor die 10A" included in the thinned semiconductor wafer W1' is tested by probing prior to dicing/singulation, and the Only known good dies (KGD) were selected from
在一些实施例中,图2及图3中所示临时载体TC1可由胶带框架TP1替代。举例来说,将半导体晶片W1安装在第一胶带框架上,使半导体晶片W1的前侧FS面朝第一胶带框架,且接着在半导体晶片W1的背侧BS上执行薄化工艺。随后,转移经薄化的半导体晶片W1’以安装在第二胶带框架上,使经薄化的半导体晶片W1’的背侧BS(例如,半导体管芯10A”的底表面110b”)面朝第二胶带框架,且接着执行单体化工艺,且第二胶带框架在单体化工艺期间将经薄化的半导体晶片W1’保持置位。应注意,以上实例是出于例示目的而提供,半导体管芯10A”的形成可以不受本公开限制的任何逻辑次序来形成。In some embodiments, the temporary carrier TC1 shown in FIGS. 2 and 3 may be replaced by a tape frame TP1. For example, the semiconductor wafer W1 is mounted on the first tape frame with the front side FS of the semiconductor wafer W1 facing the first tape frame, and then a thinning process is performed on the back side BS of the semiconductor wafer W1. Subsequently, the thinned semiconductor wafer W1' is transferred for mounting on the second tape frame with the backside BS of the thinned semiconductor wafer W1' (eg, the
图5至图24是示出根据本公开一些实施例的半导体结构制造方法中各种阶段的示意性横截面图,且是沿图25中所绘示横截面线I-I所截取。图25是示出根据本公开一些实施例的半导体结构的半导体管芯、载体管芯及绝缘包封体之间的相对位置的示意性俯视图。图26A是示出根据本公开一些实施例的在图11中所勾勒的虚线区域A中的半导体管芯与位于半导体管芯之下的载体管芯之间的接合界面的放大示意性横截面图。图27A是示出根据本公开一些实施例的在图17中所勾勒的虚线区域B中的管芯堆叠的相邻层级之间的接合界面的放大示意性横截面图。图28A是示出根据本公开一些实施例的在图19中所勾勒的虚线区域C中的管芯堆叠的相邻层级之间的接合界面的放大示意性横截面图。图29A是示出根据本公开一些实施例的在图19中所勾勒的虚线区域D中的管芯堆叠的最外部层级的配置的放大示意性横截面图。半导体结构的制造方法包括将管芯堆叠(例如,100)接合到载体管芯(例如,10B),其中形成管芯堆叠涉及经堆叠的多个半导体管芯(例如,10A及10A’)。为易于理解,相同的元件用相同的参考编号指定,且为简单起见,本文中不再对其予以赘述。FIGS. 5-24 are schematic cross-sectional views illustrating various stages in a method of fabricating a semiconductor structure according to some embodiments of the present disclosure, and are taken along the cross-sectional line I-I depicted in FIG. 25 . 25 is a schematic top view illustrating the relative positions between a semiconductor die, a carrier die, and an insulating encapsulant of a semiconductor structure according to some embodiments of the present disclosure. 26A is an enlarged schematic cross-sectional view illustrating the bonding interface between the semiconductor die in the dashed region A outlined in FIG. 11 and the carrier die underlying the semiconductor die, according to some embodiments of the present disclosure . 27A is an enlarged schematic cross-sectional view illustrating a bonding interface between adjacent levels of the die stack in the dashed region B outlined in FIG. 17, according to some embodiments of the present disclosure. 28A is an enlarged schematic cross-sectional view illustrating the bonding interface between adjacent levels of the die stack in the dashed region C outlined in FIG. 19, according to some embodiments of the present disclosure. 29A is an enlarged schematic cross-sectional view illustrating the configuration of the outermost level of the die stack in the dashed region D outlined in FIG. 19, according to some embodiments of the present disclosure. Methods of fabricating semiconductor structures include bonding a die stack (e.g., 100) to a carrier die (e.g., 10B), wherein forming the die stack involves a plurality of stacked semiconductor dies (e.g., 10A and 10A'). For ease of understanding, the same elements are designated by the same reference numerals, and for the sake of brevity, they will not be repeated here.
参照图5,在一些实施例中,提供至少一个半导体管芯10B’。举例来说,以如图1至图4中所述的方式处理半导体晶片(未示出),以产生各别的多个半导体管芯10B’,且因此为简单起见,此处不再对半导体管芯10B’的形成予以赘述。半导体管芯10B’各自可包括与半导体管芯10A”相似的结构。举例来说,半导体管芯10B’中的每一者具有前表面S3及与前表面S3相对的底表面210b’,且包括半导体衬底210、内连线结构220及多个导通孔230,半导体衬底210中形成有多个半导体器件,内连线结构220形成在半导体衬底210之上且包括与前表面S3接近的多个介电层及多个金属化图案,导通孔230形成在半导体衬底210中且延伸到内连线结构220的介电层内以与内连线结构220的金属化图案物理接触及电接触。半导体管芯10B’中的每一者的导通孔230可电耦合到半导体器件及内连线结构220的金属化图案。5, in some embodiments, at least one semiconductor die 10B' is provided. For example, a semiconductor wafer (not shown) is processed in the manner described in FIGS. 1-4 to produce a respective plurality of semiconductor dies 10B', and therefore for simplicity, no reference to the semiconductor The formation of the
出于例示目的,图5中仅示出两个半导体管芯10B’;然而,半导体管芯10B’的数目不限于本公开。基于设计布局及需求,半导体管芯10B’的数目可为一个或多于一个。For illustrative purposes, only two semiconductor dies 10B' are shown in FIG. 5; however, the number of semiconductor dies 10B' is not limited to the present disclosure. Based on the design layout and requirements, the number of semiconductor die 10B' may be one or more than one.
应注意,图中省略了半导体管芯10B’中的每一者的各种层及特征。举例来说,内连线结构220包括形成在内连线结构220的顶部金属化图案之上的钝化层(未示出),以便为下伏结构提供一定程度的保护。钝化层可由例如氧化硅、氮化硅、低k介电质、聚酰亚胺、这些的组合或类似物等一种或多种合适的介电材料制成。此时可通过钝化层覆盖导电垫来进行保护。It should be noted that various layers and features of each of semiconductor die 10B' are omitted from the figures. For example, the
据理解,从不同半导体晶片切割的半导体管芯可具有不同的性质及功能。在一些实施例中,半导体管芯10B’及半导体管芯10A”是从不同的半导体晶片单体化,可在功能及性质上有所不同。举例来说,图5中所绘示的半导体管芯10B’是逻辑管芯(例如,系统芯片(system-on-a-chip,SoC)、中央处理器(central processing unit,CPU)、图形处理单元(graphics processing unit,GPU)等)。另一方面,图4中所阐述的半导体管芯10A”是例如存储器管芯(例如,动态随机存取存储器(dynamic random access memory,DRAM)管芯、静态随机存取存储器(static random access memory,SRAM)管芯、同步动态随机存取存储器(synchronous dynamic random access memory,SDRAM)、与非(NAND)闪存等)。如图5中所示,举例来说,出于例示目的示出两个半导体管芯10B’;然而,半导体管芯10B’的数目不限于本公开中所绘示的数目,且可基于需求及设计布局来选择及指定。It is understood that semiconductor dies diced from different semiconductor wafers may have different properties and functions. In some embodiments, semiconductor die 10B' and semiconductor die 10A" are singulated from different semiconductor wafers, which may differ in function and properties. For example, the semiconductor die depicted in FIG. 5
参照图6及图7,在一些实施例中,通过临时接合层TB2将半导体管芯10B’设置在临时载体TC2上。举例来说,在单体化之前探测及测试包括半导体管芯10B’的半导体晶片。在执行单体化工艺之后,仅将已知良好的半导体管芯10B’拾取及放置在临时载体TC2上。在一些实施例中,在临时载体TC2上沉积临时接合层TB2,且通过临时接合层TB2将半导体管芯10B’中的每一者的前表面S3贴合到临时载体TC2。在替代实施例中,可省略临时接合层TB2。临时接合层TB2及临时载体TC2的形成和/或材料相似于图2中所绘述的临时接合层TB1及临时载体TC1的形成和/或材料,因此本文中不再对其予以赘述。如图6中所示,举例来说,半导体管芯10B’的底表面210b’面朝上以用于后续处理。Referring to Figures 6 and 7, in some embodiments, semiconductor die 10B' is disposed on temporary carrier TC2 through temporary bonding layer TB2. For example, a semiconductor wafer including semiconductor die 10B' is probed and tested prior to singulation. After the singulation process is performed, only known good semiconductor dies 10B' are picked and placed on the temporary carrier TC2. In some embodiments, a temporary bonding layer TB2 is deposited on the temporary carrier TC2, and the front surface S3 of each of the semiconductor dies 10B' is bonded to the temporary carrier TC2 through the temporary bonding layer TB2. In alternative embodiments, the temporary bonding layer TB2 may be omitted. The formation and/or materials of the temporary bonding layer TB2 and the temporary carrier TC2 are similar to the formation and/or materials of the temporary bonding layer TB1 and the temporary carrier TC1 depicted in FIG. 2 , and thus will not be repeated herein. As shown in FIG. 6, for example, the
此后,对于半导体管芯10B’中的每一者,在半导体衬底210的底表面210b’上执行薄化工艺(例如,刻蚀、研磨、CMP工艺或类似工艺),直到导通孔230被半导体衬底210的底表面210b”暴露出为止,以便形成经薄化的半导体管芯10B”。举例来说,在将半导体管芯10B’贴合到临时接合层TB2之后,对半导体管芯10B’进行薄化以形成经薄化的半导体管芯10B”,经薄化的半导体管芯10B”各自具有范围近似介于5μm到100μm的厚度T3。在一些实施例中,厚度T3小于厚度T2。如图7中所示,在一些实施例中,在经薄化的半导体管芯10B”中的每一者中,导通孔230的底表面230b被半导体衬底210的底表面210b”以可触及的方式暴露出。举例来说,在每一经薄化的半导体管芯10B”中,导通孔230的底表面230b与半导体衬底210的底表面210b”实质上齐平且共面。在经薄化的半导体管芯10B”中的每一者中,当半导体衬底210是硅衬底时,穿透半导体衬底210的导通孔230被称为半导体穿孔(throughsemiconductor via,TSV)或硅穿孔。Thereafter, for each of the semiconductor dies 10B', a thinning process (eg, etching, grinding, CMP process, or the like) is performed on the
参照图8,在一些实施例中,使经薄化的半导体管芯10B”凹陷,从而使得导通孔230从半导体衬底210突出。换句话说,部分地移除经薄化的半导体管芯10B”中的每一者的半导体衬底210以获得底表面210b,且导通孔230中的每一者的部分从半导体衬底210的底表面210b突出出来。在所述凹陷之后,在图8中所示的横截面中,形成多个凹陷R1,其中凹陷R1中的每一者形成在底表面210b上以及两个相邻导通孔230的突出部分之间。举例来说,凹陷R1各自具有范围近似介于0.5μm到1.5μm的深度D1(如沿堆叠方向Z测量)。8, in some embodiments, the thinned semiconductor die 10B" is recessed such that the
在凹陷工艺期间,可通过刻蚀来部分地移除经薄化的半导体管芯10B”中的每一者的半导体衬底210。举例来说,相对于导通孔230的材料及临时接合层TB2的材料,刻蚀工艺对半导体衬底210的材料具有高刻蚀速率选择性(etch-rate selectivity)。举例来说,可通过调整刻蚀时间来控制半导体衬底210的移除量。在一些实施例中,导通孔230及临时接合层TB2可在凹陷期间保持完整。刻蚀工艺可包括干刻蚀、湿刻蚀或其组合。在一些实施例中,使用合适的溶剂、清洁化学品或其他清洁技术执行清洁工艺以移除刻蚀工艺的残留物。During the recessing process, the
参照图9,在一些实施例中,在临时载体TC2之上及经薄化的半导体管芯10B”上形成隔离层50。在一些实施例中,隔离层50包括第一侧向部分50a、第二侧向部分50b及连接部分50c。举例来说,如图9中所示,第一侧向部分50a设置在临时接合层TB2上且在临时接合层TB2之上延伸,第二侧向部分50b设置在半导体衬底210的底表面210b以及导通孔230的底表面230b及侧壁230s上且在半导体衬底210的底表面210b以及导通孔230的底表面230b及侧壁230s之上延伸,且连接部分50c设置在第一侧向部分50a上且延伸成与第二侧向部分50b接触。在一些实施例中,隔离层50具有范围近似介于0.5μm到1.6μm的厚度T50,其中厚度T50是以隔离层50的相对两侧之间的最小距离来测量。如图9中所示,第二侧向部分50b填充凹陷R1。在一个实施例中,厚度T50大于深度D1,然而本公开不限于此。在替代实施例中,厚度T50实质上等于深度D1。换句话说,隔离层50足够厚以覆盖导通孔230的突出部分。9, in some embodiments, an
在一些实施例中,第一侧向部分50a及第二侧向部分50b例如沿图9中所绘示的方向X和/或方向Y侧向延伸。举例来说,方向X不同于方向Y,且方向X及方向Y独立地垂直于堆叠方向Z。在一些实施例中,连接部分50c垂直延伸以连接第一侧向部分50a与第二侧向部分50b。举例来说,连接部分50c可以如图9中所示的直线形式朝上延伸。然而,连接部分50c可以台阶形式朝上延伸(例如,图15中的连接部分51c)。In some embodiments, the first
在一些实施例中,隔离层50可通过例如旋涂、化学气相沉积(chemical vapordeposition,CVD)工艺或类似工艺共形地形成在临时载体TC2之上。在一些实施例中,隔离层50的材料可包括氮化物(例如氮化硅)、氧化物(例如氧化硅)或类似物(例如氮氧化硅、碳化硅、聚合物、类似物)。作为另外一种选择,在形成隔离层50之前,可在经薄化的半导体管芯10B”中的每一者的半导体衬底210的底表面210b上形成天然氧化物。如图9中所示,举例来说,导通孔230的从半导体衬底210的底表面210b突出的部分被隔离层50的第二侧向部分50b包绕。In some embodiments, the
一起参照图9及图10,在一些实施例中,部分地移除隔离层50以暴露出导通孔230。在此种实施例中,通过平坦化工艺对隔离层50进行图案化,其中一个第一侧向部分50a及与所述一个第一侧向部分50a连接的经平坦化的连接部分50c’一起构成一个隔离结构50(0),且隔离层50的第二侧向部分50b被平坦化以形成设置在底表面210b上的隔离层240。平坦化工艺可包括例如CMP工艺或类似工艺。至此,制造出载体管芯10B。在一些实施例中,载体管芯10B的厚度T10B各自的范围近似介于3μm到90μm。在一些实施例中,厚度T10B小于或实质上等于厚度T3。在本公开中,在半导体结构P1a中,载体管芯10B各自被称为一个管芯堆叠的基础层级10B(0)。应注意,图中省略了半导体管芯的各种层及特征,且载体管芯10B可包括形成在其中的更多元件,以执行不同的功能。Referring to FIGS. 9 and 10 together, in some embodiments,
在一些实施例中,对于如图10所示的每一载体管芯10B,隔离层240以可触及的方式显露出导通孔230,以用于进一步的电连接。在一些实施例中,载体管芯10B的隔离层240的厚度T4的范围近似介于0.3μm到1μm。在平坦化工艺之后,举例来说,可以可选地执行清洁工艺,以清洁及移除平坦化工艺所产生的残留物。然而,本公开不限于此,且可通过任何其他合适的方法来执行平坦化工艺。在一些实施例中,在对隔离层50进行平坦化期间,导通孔230也可被平坦化。在一些实施例中,隔离层50的厚度T50大于或实质上等于凹陷R1的深度D1,且隔离层240的厚度T4小于或实质上等于凹陷R1的深度D1。In some embodiments, for each carrier die 10B as shown in FIG. 10,
在一些实施例中,隔离层240的底表面240b与导通孔230的底表面230b实质上齐平。也就是说,隔离层240的底表面240b与导通孔230的底表面230b实质上共面。在一些实施例中,如图10中所示,导通孔230中的每一者的从半导体衬底210的底表面210b突出的部分被隔离层240侧向覆盖,且导通孔230的底表面230b被隔离层240以可触及的方式暴露出。在一些实施例中,利用此种平坦化,载体管芯10B通过隔离结构50(0)而形成为彼此分离。在本公开中,举例来说,如图10中所示,载体管芯10B各自具有前表面S3及背表面S4(例如,底表面240b),背表面S4与前表面S3相对。In some embodiments, the
参照图11,在一些实施例中,提供第一组的多个半导体管芯10A”,其中这些半导体管芯10A”堆叠在半导体管芯10B上。举例来说,如结合图1至图4及图5至图10所分别阐述般单独地制作半导体管芯10A”及载体管芯10B。在一些实施例中,可使用例如拾取及放置工艺或其他合适的贴合技术从胶带框架TP1(图4中所示)移除半导体管芯10A”,以将半导体管芯10A”安装在载体管芯10B上。可在接合之前测试半导体管芯10A”,以使得仅已知良好管芯(KGD)被使用来进行贴合。在本公开中,半导体管芯10A”与载体管芯10B以面对背配置(face-to-back configuration)接合在一起。如图11中所示,举例来说,半导体管芯10A”的前表面S1分别面朝载体管芯10B的背表面S4。Referring to FIG. 11, in some embodiments, a first set of multiple semiconductor dies 10A" are provided, wherein the semiconductor dies 10A" are stacked on semiconductor die 10B. For example, semiconductor die 10A" and carrier die 10B are fabricated separately as described in conjunction with Figures 1-4 and 5-10, respectively. In some embodiments, a pick and place process, for example, or other A suitable bonding technique removes semiconductor die 10A" from tape frame TP1 (shown in Figure 4) to mount semiconductor die 10A" on carrier die 10B. Semiconductor die 10A" may be tested prior to bonding, So that only Known Good Dies (KGD) are used for bonding. In the present disclosure, semiconductor die 10A" and carrier die 10B are bonded together in a face-to-back configuration. As shown in FIG. 11, for example, the front side of semiconductor die 10A" The surfaces S1 respectively face the back surface S4 of the carrier die 10B.
出于例示目的,图11中示出一个载体管芯10B上设置有仅一个半导体管芯10A”;然而,设置在一个载体管芯10B上的半导体管芯10A”的数目不限于本公开。基于设计布局及需求,半导体管芯10A”的数目可为一个或多于一个。举例来说,在替代实施例中,一个载体管芯10B上设置有多个半导体管芯10A”(参见图45)。For illustration purposes, only one semiconductor die 10A" disposed on one carrier die 10B is shown in FIG. 11; however, the number of semiconductor dies 10A" disposed on one carrier die 10B is not limited to the present disclosure. Based on design layout and requirements, the number of semiconductor dies 10A" may be one or more than one. For example, in alternate embodiments, a plurality of semiconductor dies 10A" are provided on one carrier die 10B (see FIG. 45 ). ).
在一些实施例中,执行接合工艺以将半导体管芯10A”接合到载体管芯10B。举例来说,如图11及图26A(示出由图11所示虚线框(或虚线区域)A所指示的放大横截面图)中所示,一个半导体管芯10A”与相应的下伏载体管芯10B之间的接合界面IF1包括金属对金属接合(metal-to-metal bonding)(例如,铜对铜接合)及介电质对介电质接合(dielectric-to-dielectric bonding)(例如,氧化物对氧化物接合、氧化物对氮化物接合或氮化物对氮化物接合)。也就是说,接合工艺包括混合接合工艺。举例来说,半导体管芯10A”的接合导体150与载体管芯10B的导通孔230通过铜对铜接合(称为直接金属对金属接合(directmetal-to-metal bonding))接合在一起,且半导体管芯10A”的介电层140(例如,介电层DI4)与载体管芯10B的隔离层240通过氧化物对氮化物接合(称为直接介电质对介电质接合合(direct dielectric-to-dielectric bonding))接合在一起。在本公开中,接合界面IF1可被称为混合接合界面(hybrid bonding interface)。In some embodiments, a bonding process is performed to bond semiconductor die 10A" to carrier die 10B. For example, as shown in FIGS. 11 and 26A (shown by the dashed box (or dashed area) A shown in FIG. As shown in the enlarged cross-sectional view indicated), the bonding interface IF1 between one semiconductor die 10A" and the corresponding underlying carrier die 10B includes metal-to-metal bonding (eg, copper pair copper bonding) and dielectric-to-dielectric bonding (eg, oxide-to-oxide bonding, oxide-to-nitride bonding, or nitride-to-nitride bonding). That is, the bonding process includes a hybrid bonding process. For example, the bonding
应注意,上述接合方法仅为实例,且不旨在进行限制。在一些实施例中,如图26A中所示,接合导体150的侧壁150SW与位于接合导体150之下的导通孔230的侧壁230SW之间存在偏移。换句话说,由于接合导体150具有比导通孔230大的接合表面,因此即使发生未对准,仍可实现直接金属对金属接合,从而表现出更好的可靠性。在接合导体150的尺寸小于对应导通孔230的尺寸的一些实施例中,紧邻接合导体150的半导体管芯10A”的介电层140可接合到载体管芯10B的导通孔230的部分。It should be noted that the joining methods described above are examples only, and are not intended to be limiting. In some embodiments, as shown in FIG. 26A , there is an offset between the sidewalls 150SW of the bonding
在一些实施例中,导通孔130可从内连线结构120到底表面110b”逐渐变小(例如,锥化)。作为另外一种选择,举例来说,如图11及图26A中所示,导通孔130具有实质上垂直(竖直)的侧壁。在沿堆叠方向Z的横截面图中,导通孔130的形状可视设计要求而定,且不旨在于本公开中进行限制。另一方面,在X-Y平面上的俯视(平面)图中,导通孔130的形状可视设计要求而定,且可为圆形形状、椭圆形形状、矩形形状、多边形形状或其组合;本公开不限于此。相似的几何规格也可应用于载体管芯的导通孔230,且因此其中不再予以赘述。In some embodiments, the via 130 may taper (eg, taper) from the
举例来说,如图11及图26A中所示,分布在前表面S1处的一个半导体管芯10A”的每一接合导体150与位于接合导体150之下的半导体管芯10B的所述相应一个导通孔230物理接触及电接触。在一些实施例中,如图26A中所示,此种接合导体150与上覆在接合导体150上的相应金属化图案MP物理接触及电接触。然而,本公开不限于此;在替代实施例中,如图26B中所示,此种接合导体150可与上覆在接合导体150上的相应导电垫AP物理接触及电接触。For example, as shown in FIGS. 11 and 26A , each
参照图12,在一些实施例中,从临时载体TC2移除隔离结构50(0)。举例来说,可通过刻蚀或类似工艺移除隔离结构50(0);本公开不限于此。举例来说,刻蚀工艺可包括干刻蚀、湿刻蚀或其组合。在一个实施例中,如图12中所示,在隔离结构50(0)的移除期间,被上覆的半导体管芯10A”暴露出的每一载体管芯10B的隔离层240余留下来。然而,在替代实施例中,在隔离结构50(0)的移除期间,可同时移除被上覆的半导体管芯10A”暴露出的每一载体管芯10B的隔离层240。12, in some embodiments, isolation structure 50(0) is removed from temporary carrier TC2. For example, the isolation structures 50(0) may be removed by etching or a similar process; the present disclosure is not so limited. For example, the etching process may include dry etching, wet etching, or a combination thereof. In one embodiment, as shown in FIG. 12, the
一起参照图12及图13,在一些实施例中,可在半导体管芯10A”的底表面110b”上执行薄化工艺(例如,研磨、CMP或类似工艺),以形成经薄化的半导体管芯10A”’。在一些实施例中,导通孔130被经薄化的半导体管芯10A”’的底表面110b”’暴露出。也就是说,在将半导体管芯10A”接合到载体管芯10B之后,对半导体管芯10A”进行薄化以形成经薄化的半导体管芯10A”’,经薄化的半导体管芯10A”’具有范围近似介于40μm到200μm的厚度T5。如图13中所示,举例来说,在经薄化的半导体管芯10A”’中的每一者中,导通孔130的底表面130b被半导体衬底110的底表面110b”’以可触及的方式暴露出。举例来说,在每一经薄化的半导体管芯10A”’中,导通孔130的底表面130b与半导体衬底110的底表面110b”’实质上齐平且共面。在经薄化的半导体管芯10A”’中的每一者中,由于导通孔130延伸穿过半导体衬底110,因此当半导体衬底110是硅衬底时,导通孔130被称为半导体穿孔(TSV)或硅穿孔。12 and 13 together, in some embodiments, a thinning process (eg, grinding, CMP, or the like) may be performed on the
参照图14,在一些实施例中,使经薄化的半导体管芯10A”’凹陷,从而使得导通孔130从半导体衬底110突出。换句话说,部分地移除经薄化的半导体管芯10A”’中的每一者的半导体衬底110以获得底表面110b,且导通孔130中的每一者的部分从半导体衬底110的底表面110b突出出来。在进行凹陷之后,在图14中所示横截面中,形成多个凹陷R2,其中凹陷R2中的每一者形成在底表面110b上及两个相邻导通孔130的突出部分之间。举例来说,凹陷R2各自具有范围近似介于0.5μm到1.5μm的深度D2(如沿堆叠方向Z测量)。凹陷工艺相似于结合图8阐述的工艺,且因此本文中不再对其予以赘述。14, in some embodiments, the thinned semiconductor die 10A"' is recessed such that the
参照图15,在一些实施例中,在临时载体TC2之上形成隔离层51。在一些实施例中,隔离层51包括第一侧向部分51a、第二侧向部分51b及连接部分51c。举例来说,如图15中所示,第一侧向部分51a设置在临时接合层TB2上且在临时接合层TB2之上延伸,第二侧向部分51b设置在半导体衬底110的底表面110b以及导通孔130的底表面130b及侧壁130s上且在半导体衬底110的底表面110b以及导通孔130的底表面130b及侧壁130s之上延伸,且连接部分51c设置在第一侧向部分51a上且延伸成与第二侧向部分51b接触。在一些实施例中,隔离层51具有范围近似介于0.5μm到1.6μm的厚度T51,其中厚度T51是以隔离层51的相对两侧之间的最小距离来测量。如图15中所示,第二侧向部分51b填充凹陷R2。在一个实施例中,厚度T51大于深度D2,然而本公开不限于此。在替代实施例中,厚度T51实质上等于深度D2。换句话说,隔离层51足够厚以覆盖导通孔130中的每一者的突出部分。如图15中所示,举例来说,从半导体衬底110的底表面110b突出的导通孔130的部分被隔离层51的第二侧向部分51b包绕。Referring to FIG. 15, in some embodiments, an
在一些实施例中,如图15中所示,第一侧向部分51a及第二侧向部分51b侧向延伸(例如沿方向X和/或方向Y延伸),且连接部分51c可以台阶形式朝上延伸(例如除沿方向X和/或方向Y以外也沿堆叠方向Z延伸)。隔离层51的形成及材料可与如图9中所阐述的隔离层50的形成工艺相同,且因此本文中不再对其予以赘述。在一个实施例中,隔离层51的厚度T51可与隔离层50的厚度T50相同。在替代实施例中,隔离层51的厚度T51可不同于隔离层50的厚度T50。In some embodiments, as shown in FIG. 15, the first and second
一起参照图15及图16,在一些实施例中,部分地移除隔离层51以暴露出导通孔130。在此种实施例中,对隔离层51进行平坦化,其中一个第一侧向部分51a及与所述一个第一侧向部分51a连接的经平坦化的连接部分51c’一起构成一个隔离结构50(1),且对隔离层51的第二侧向部分51b进行平坦化以形成设置在底表面110b上的隔离层160。平坦化工艺可包括通过例如CMP工艺或类似工艺来进行。至此,制造出半导体管芯10A。在一些实施例中,半导体管芯10A的厚度T10A的范围近似介于3μm到50μm。在一些实施例中,厚度T10A小于或实质上等于厚度T5。在本公开中,在半导体结构P1a中,此处的半导体管芯10A被称为管芯堆叠的第一层级10A(1)。应注意,图中省略了半导体管芯的各种层及特征,且半导体管芯10A可包括形成在其中的更多元件以执行不同的功能。Referring to FIGS. 15 and 16 together, in some embodiments,
在一些实施例中,如图16中所示,隔离层160以可触及的方式显露出导通孔130,以用于进一步的电连接。在一些实施例中,隔离层160的厚度T6的范围近似介于0.3μm到1μm。在平坦化工艺之后,举例来说,可以可选地执行清洁工艺,以清洁及移除平坦化工艺所产生的残留物。然而,本公开不限于此,且可通过任何其他合适的方法来执行平坦化工艺。在一些实施例中,在对隔离层51进行平坦化期间,导通孔130也可被平坦化。在一些实施例中,隔离层51的厚度T51大于或实质上等于凹陷R2的深度D2,且隔离层160的厚度T6小于或实质上等于凹陷R2的深度D2。In some embodiments, as shown in FIG. 16,
在一些实施例中,隔离层160的底表面160b与导通孔130的底表面130b实质上齐平。也就是说,隔离层160的底表面160b与导通孔130的底表面130b实质上共面。在一些实施例中,如图16中所示,导通孔130中的每一者的从半导体衬底110的底表面110b突出的部分被隔离层160侧向覆盖,且导通孔130的底表面130b被隔离层160以可触及的方式暴露出。在一些实施例中,利用此种平坦化,半导体管芯10A通过隔离结构50(1)而形成为彼此分离。在本公开中,举例来说,如图16中所示,半导体管芯10A各自具有前表面S1及背表面S2(例如,底表面160b),背表面S2与前表面S1相对。也就是说,举例来说,半导体管芯10A(例如,管芯堆叠的第一层级10A(1))的前表面S1分别面朝且接合到载体管芯10B(例如,管芯堆叠的基础层级10B(0))的背表面S4。In some embodiments, the
参照图17,在一些实施例中,提供第二组的多个半导体管芯10A”,且这些半导体管芯10A”分别堆叠在第一层级10A(1)的半导体管芯10A上。在本公开中,每一半导体管芯10A”(来自第二组)以面对背配置设置在第一层级10A(1)的半导体管芯10A中的一者上,以用于形成管芯堆叠的第二层级(例如,图18中所绘示的10A(2))。举例来说,半导体管芯10A”(来自第二组)的前表面S1分别面朝第一层级10A(1)的半导体管芯10A的背表面S2。与如图11中所阐述的工艺相似,在半导体管芯10A”从胶带框架TP1(图4中所示)移除以安装在第一层级10A(1)的半导体管芯10A上之前与在接合之前,可以测试半导体管芯10A”,以使得仅已知良好管芯(KGD)被使用来进行贴合。Referring to FIG. 17, in some embodiments, a second plurality of semiconductor dies 10A" are provided, and the semiconductor dies 10A" are respectively stacked on the semiconductor dies 10A of the
在一些实施例中,通过混合接合来执行接合工艺,以将半导体管芯10A”接合到半导体管芯10A。举例来说,如图17及图27A(示出由图17所示虚线框(或虚线区域)B所指示的放大剖视图)中所示,一个半导体管芯10A”与相应的下伏半导体管芯10A之间的接合界面IF2包括金属对金属接合(例如,铜对铜接合)及介电质对介电质接合(例如,氧化物对氧化物接合、氧化物对氮化物接合或氮化物对氮化物接合)。举例来说,半导体管芯10A”的接合导体150与半导体管芯10A的导通孔130通过铜对铜接合(称为直接金属对金属接合)接合在一起,且半导体管芯10A”的介电层140(例如,介电层DI4)与半导体管芯10A的隔离层160通过氧化物对氮化物接合(称为直接介电质对介电质接合)接合在一起。在本公开中,接合界面IF2可被称为混合接合界面。In some embodiments, the bonding process is performed by hybrid bonding to bond semiconductor die 10A″ to semiconductor die 10A. For example, as shown in FIGS. As shown in the enlarged cross-sectional view indicated by dashed area) B), the bonding interface IF2 between one semiconductor die 10A" and the corresponding underlying semiconductor die 10A includes a metal-to-metal bond (eg, a copper-to-copper bond) and an intermediate Dielectric-to-dielectric bonding (eg, oxide-to-oxide bonding, oxide-to-nitride bonding, or nitride-to-nitride bonding). For example, the bonding
应注意,上述接合方法仅为实例,且不旨在进行限制。如图27A中所示,举例来说,接合导体150的侧壁150SW与位于接合导体150之下的导通孔130的侧壁130SW之间存在偏移。换句话说,由于接合导体150具有比导通孔130大的接合表面,因此即使发生未对准,仍可实现直接金属对金属接合,从而表现出更好的可靠性。在接合导体150的尺寸小于对应导通孔130的尺寸的一些实施例中,紧邻接合导体150的半导体管芯10A”的介电层140可进一步接合到半导体管芯10A的导通孔130的部分,例如金属到介电质接合。It should be noted that the joining methods described above are examples only, and are not intended to be limiting. As shown in FIG. 27A , for example, there is an offset between the sidewall 150SW of the
如图17及图27A中所示,举例来说,分布在前表面S1处的一个半导体管芯10A”的每一接合导体150与位于接合导体150之下的半导体管芯10A的所述相应一个导通孔130物理接触及电接触。在一些实施例中,如图27A中所示,此种接合导体150与上覆在接合导体150上的相应金属化图案MP物理接触及电接触。然而,本公开不限于此;在替代实施例中,参见图27B,此种接合导体150可与上覆在接合导体150上的相应导电垫AP物理接触及电接触。As shown in FIGS. 17 and 27A , for example, each
参照图18,在一些实施例中,重复进行图12至图17中所阐述的步骤,以在基础层级10B(0)中的多个载体管芯10B之上形成多个管芯堆叠100。如图18中所示,在一个载体管芯10B上设置有一个管芯堆叠100。在一些实施例中,管芯堆叠100各自包括一个最顶部层级10A(T),其中最顶部层级10A(T)包括图4中所绘示的半导体管芯10A”。应理解,符号T指示每一管芯堆叠100的层级数目,且分别设置在基础层级10B(0)上的管芯堆叠100各自可包括任意数目的层级。举例来说,T是大于1的整数。举例来说,如图18中所示,半导体管芯10A”具有未被显露出的导通孔130。在一些实施例中,在管芯堆叠100中的每一者中,最顶部层级10A(T)中的半导体管芯10A”比内部层级(例如,10A(1)到10A(T-1))的下伏半导体管芯10A中的任一者厚。举例来说,最顶部层级10A(T)的半导体管芯10A”的厚度T2大于内部层级(例如,10A(1)到10A(T-1))中由其他半导体管芯10A构成的一个层级的厚度T10A。Referring to Figure 18, in some embodiments, the steps set forth in Figures 12-17 are repeated to form a plurality of
举例来说,在每一管芯堆叠100中,通过在图17中所绘示的结构上执行结合图13至图16所阐述的方法来制作第二层级10A(2)处的半导体管芯10A,且因此第一层级10A(1)及第二层级10A(2)处的半导体管芯10A在配置、功能及性质上可相似或相同。也就是说,由于使用相似的形成步骤,因此每一管芯堆叠100的第一层级10A(1)至第(T-1)层级10A(T-1)处的半导体管芯10A在配置、功能及性质上可相似或相同。举例来说,管芯堆叠100各自具有带有平整的表面的侧壁100S。在一些实施例中,沿方向X,每一载体管芯10B的宽度W10B大于每一管芯堆叠100(的每一半导体管芯10A/10A”)的宽度W100。如图18中所示,在一些实施例中,一个管芯堆叠100的侧壁100S与位于所述一个管芯堆叠100之下的载体管芯10B的侧壁S5(见图19)之间存在偏移。For example, in each die
在一些实施例中,可在接合之前测试层级(例如,比如内部层级10A(1)/10A(2)..10A(T-1)及最顶部层级10A(T)等)中的半导体管芯(例如,10A及10A”),以使得仅已知良好管芯(KGD)被使用来形成管芯堆叠100,从而增加制造良率。在半导体管芯(例如,10A及10A”)是存储器管芯的一些实施例中,由于半导体管芯垂直堆叠及接合,因此管芯堆叠100在操作期间可实现较快的存储器间通信,这又可提高数据带宽且能够使得进行较快的数据存取及数据存储。在一些实施例中,在操作期间,第一层级10A(1)处的半导体管芯可帮助管理堆叠在所述半导体管芯上的其他层级(例如,10A(2)到10A(T-1)及10A(T))处的相应半导体管芯和/或基础层级10B(0)处的载体管芯10B之间的数据存储及数据格式互操作性。In some embodiments, semiconductor dies in levels (eg, such as
在一些实施例中,通过混合接合对管芯堆叠100的半导体管芯(例如,10A及10A”)进行垂直堆叠及接合。举例来说,对于一个管芯堆叠100的每两个相邻层级,上部层级以面对背配置接合到下部层级。在一些实施例中,如图18中所示,第二层级10A(2)的前表面S1接合到第一层级10A(1)的背表面S2。通过此种接合(不使用任何其他外部连接件),两个相邻堆叠层级处的管芯之间不存在间隙,因此实现了具有更好形状因数且在器件中具有更高密度的管芯堆叠的半导体结构P1a。如图18中所示,举例来说,管芯堆叠100通过隔离结构50(T-1)彼此分离及隔离,且载体管芯10B也通过隔离结构50(T-1)彼此分离及隔离。In some embodiments, the semiconductor dies (eg, 10A and 10A") of
参照图19,在一些实施例中,从临时载体TC2移除隔离结构50(T-1)。举例来说,可通过刻蚀或类似工艺移除隔离结构50(T-1);本公开不限于此。刻蚀工艺相似于图12中所阐述的步骤,且因此本文中不再对其予以赘述。也就是说,举例来说,管芯堆叠100通过间隙彼此分离及隔离,且载体管芯10B也通过间隙彼此分离及隔离。Referring to Figure 19, in some embodiments, isolation structure 50 (T-1) is removed from temporary carrier TC2. For example, the isolation structure 50 (T-1) may be removed by etching or the like; the present disclosure is not limited thereto. The etching process is similar to the steps illustrated in FIG. 12 and therefore will not be described in detail herein. That is, for example, the die stacks 100 are separated and isolated from each other by gaps, and the carrier dies 10B are also separated and isolated from each other by gaps.
如图19及图28A(示出由图19所示虚线框(或虚线区域)C指示的放大横截面图)中所示,内部层级(例如,10A(1)到10A(T-1))中的半导体管芯10A的半导体衬底110中的至少一者可具有修圆边缘(rounded edge)RE。举例来说,对于半导体管芯10A中的每一者,修圆边缘RE连接到半导体衬底110的底表面110b及侧壁110s。在一些实施例中,第二层级10A(2)处的半导体管芯10A的介电层140是实质上平的表面,以使得在第一层级10A(1)处的半导体管芯10A的修圆边缘RE与第二层级10A(2)处的半导体管芯10A的介电层140之间形成间隙。对于此种实施例,在连续的步骤中,可通过例如介电层、导电层或具有至少一个介电层及至少一个导电层的层等稍后形成的层/元件来填充间隙。也就是说,修圆边缘RE可被稍后形成的层/元件覆盖。本公开不限于此。在替代实施例中,间隙可不被填充,且修圆边缘RE可不被任何层/元件覆盖。在一些实施例中,在背侧薄化工艺(例如,结合图13所阐述的步骤)期间形成修圆边缘RE。举例来说,与半导体管芯的边缘接触的研磨垫(grinding pad)将半导体管芯的边缘修圆。通过修圆边缘RE的形成,半导体管芯10A可分散由机械/热应力及接合引起的边缘/隅角区域中的应力,从而防止开裂(cracking)。As shown in FIGS. 19 and 28A (showing an enlarged cross-sectional view indicated by the dashed box (or dashed area) C shown in FIG. 19 ), the internal levels (eg, 10A(1) to 10A(T-1)) At least one of the
在其他实施例中,如图28B中所示,修圆边缘RE可由斜面边缘(bevel edge)BE替代,其中斜面边缘BE连接到半导体衬底110的底表面110b及侧壁110s。在一些实施例中,第二层级10A(2)处的半导体管芯10A的介电层140是实质上平的表面,以使得在第一层级10A(1)处的半导体管芯10A的斜面边缘BE与第二层级10A(2)处的半导体管芯10A的介电层140之间形成间隙。对于此种实施例,在连续的步骤中,可通过例如介电层、导电层或具有至少一个介电层及至少一个导电层的层等稍后形成的层/元件来填充间隙。也就是说,斜面边缘BE可被稍后形成的层/元件覆盖。然而,在替代实施例中,间隙可不被填充,且斜面边缘BE可不被任何层/元件覆盖。在一些实施例中,斜面边缘BE是通过在切割道处形成的用于单体化工艺(例如,结合图4阐述的步骤)的单体化标记而形成。举例来说,用于指示半导体管芯的边界的单体化标记使半导体管芯的边缘倾斜。通过斜面边缘BE的形成,半导体管芯10A可分散由机械/热应力及接合引起的边缘/隅角区域中的应力,从而防止开裂。In other embodiments, as shown in FIG. 28B , the rounded edge RE may be replaced by a bevel edge BE, wherein the bevel edge BE is connected to the
在又一些其他实施例中,如图28C中所示,半导体衬底110的底表面110b与侧壁110s可在尖锐边缘(sharp edge)SE处直接连接。在此种实施例中,第一层级10A(1)处的半导体管芯10A的尖锐边缘SE与第二层级10A(2)处的半导体管芯10A的介电层140之间不形成间隙。在一些实施例中,尖锐边缘SE可被或可不被任何层/元件覆盖。In still other embodiments, as shown in FIG. 28C , the
如图19及图29A(示出由图19所示虚线框(或虚线区域)D所指示的放大横截面图)中所示,最顶部层级10A(T)处的半导体管芯10A”的半导体衬底110可具有斜面边缘BE。举例来说,对于半导体管芯10A”,斜面边缘BE连接到半导体衬底110的底表面110b”及侧壁110s。对于此种实施例,在连续的步骤中,可通过例如介电层、导电层或具有至少一个介电层及至少一个导电层的层等稍后形成的层/元件覆盖斜面边缘BE。本公开不限于此。在替代实施例中,斜面边缘BE可不被任何层/元件覆盖。As shown in FIGS. 19 and 29A (showing an enlarged cross-sectional view indicated by the dashed box (or dashed area) D shown in FIG. 19 ), the semiconductor of the semiconductor die 10A″ at the
然而,本公开不限于此。在其他实施例中,如图29B中所示,半导体衬底110的底表面110b”与侧壁110s可在尖锐边缘SE处直接连接。在一些实施例中,尖锐边缘SE可被或可不被任何层/元件覆盖。However, the present disclosure is not limited thereto. In other embodiments, as shown in FIG. 29B, the
参照图20,在一些实施例中,在临时载体TC2之上设置电磁干扰屏蔽材料(electromagnetic interference shielding material)60A,电磁干扰屏蔽材料60A设置在管芯堆叠100以及基础层级10B(0)的载体管芯10B上。在一些实施例中,电磁干扰屏蔽材料60A以共形方式覆盖管芯堆叠100以及基础层级10B(0)的载体管芯10B。在一些实施例中,电磁干扰屏蔽材料60A具有范围近似介于到的厚度T60,其中厚度T60是以电磁干扰屏蔽材料60A的相对两侧之间的最小距离来测量。举例来说,电磁干扰屏蔽材料60A至少覆盖管芯堆叠100的侧壁100S及底表面100b,且进一步覆盖基础层级10B(0)的载体管芯10B的侧壁S5以及背表面S4的部分。Referring to FIG. 20 , in some embodiments, an electromagnetic
在一些实施例中,电磁干扰屏蔽材料60A可由导电材料制成。用于电磁干扰屏蔽材料60A的材料可包括铜、镍、镍与铁的合金、铜与镍的合金、银等,但不限于此。在一些实施例中,电磁干扰屏蔽材料60A可使用电解镀覆、化学镀覆、溅镀、物理气相沉积(physicalvapor deposition,PVD)、化学气相沉积或其他合适的金属沉积工艺来制作。如果需要,则可以可选地执行图案化工艺以暴露出临时接合层TB2的部分。图案化工艺可包括例如干刻蚀、湿刻蚀或其组合等刻蚀工艺。In some embodiments, the electromagnetic
参照图21,在一些实施例中,在形成电磁干扰屏蔽材料60A之后,在临时载体TC2之上形成绝缘材料20’,以包封电磁干扰屏蔽材料60A、管芯堆叠100及载体管芯10B。举例来说,绝缘材料20’可为模制化合物、环氧树脂、类似材料或其他合适的电绝缘材料,且可通过压缩模制(compression molding)、转移模制(transfer molding)或类似工艺施加。在一些实施例中,对电磁干扰屏蔽材料60A、管芯堆叠100及载体管芯10B进行包覆模制,且接着使用例如研磨、化学机械抛光(CMP)、其组合或其他合适的薄化工艺对绝缘材料20’进行薄化以减小结构的总厚度。举例来说,管芯堆叠100的底表面100b(例如,半导体管芯10A”的底表面110b”)在薄化之后被绝缘材料20’暴露出。21, in some embodiments, after the
在一些实施例中,在对绝缘材料20’进行薄化期间,也对电磁干扰屏蔽材料60A进行图案化以形成电磁干扰屏蔽层60。在某些实施例中,可例如在包覆模制的绝缘材料20’上执行薄化步骤,以使绝缘材料20’的表面20b、管芯堆叠100的底表面100b(例如,半导体管芯10A”的底表面110b”)及电磁干扰屏蔽层60的表面S60齐平。举例来说,绝缘材料20’的表面20b、管芯堆叠100的底表面100b(例如,半导体管芯10A”的底表面110b”)及电磁干扰屏蔽层60的表面S60彼此实质上齐平。换句话说,绝缘材料20’的表面20b与管芯堆叠100的底表面100b(例如,半导体管芯10A”的底表面110b”)及电磁干扰屏蔽层60的表面S60实质上共面。如图21中所示,举例来说,电磁干扰屏蔽层60不在管芯堆叠100的底表面100b(例如,半导体管芯10A”的底表面110b”)上延伸,且载体管芯10B及设置在载体管芯10B上的管芯堆叠100通过电磁干扰屏蔽层60与绝缘材料20’分离。In some embodiments, the electromagnetic
绝缘材料20’可包括低的吸湿率(moisture absorption rate),且在固化后可为刚性的,以用于保护电磁干扰屏蔽层60、管芯堆叠100及载体管芯10B。通过利用由导电材料或磁性材料制成的障壁阻挡空间中的电磁场,电磁干扰屏蔽层60被用于来减少或抑制所述电磁场。在一些实施例中,电磁干扰屏蔽层60可减少例如无线电波、电磁场及静电场的耦合。在一些实施例中,电磁干扰屏蔽层60可与接地(未示出)电接触,以呈电接地。The insulating material 20' may include a low moisture absorption rate and may be rigid after curing for protecting the electromagnetic
在一些实施例中,在对绝缘材料20’进行薄化期间,也可对半导体管芯10A”的半导体衬底110进行图案化,本公开不限于此。在其他实施例中,可省略薄化工艺,且通过绝缘材料20’掩埋或覆盖电磁干扰屏蔽材料60A、管芯堆叠100及载体管芯10B。在此种实施例中,电磁干扰屏蔽材料60A用作电磁干扰屏蔽层,且与接地(未示出)电接触,以呈电接地。In some embodiments, the
参照图22,在一些实施例中,将另一临时载体TC3可选地与临时载体TC2相对的贴合到绝缘材料20’。在绝缘材料20’被薄化成暴露出最顶部层级10A(T)处的半导体管芯10A”的一些实施例中,临时载体TC3通过临时接合层TB3接合到绝缘材料20’(例如,表面20b)及管芯堆叠100的底表面100b(例如,半导体管芯10A”的底表面110b”)。可以执行剥离工艺,其中从基础层级10B(0)处的载体管芯10B释放临时载体TC2及临时接合层TB2,从而使得载体管芯10B的前表面S3及绝缘材料20’的表面20a暴露出来。举例来说,沿堆叠方向Z,绝缘材料20’的表面20a与绝缘材料20’的表面20b相对。在一些实施例中,在剥离临时载体TC2之后清洁载体管芯10B的前表面S3,以用于进一步处理。在图4中已阐述剥离工艺,且因此为简单起见,本文中不再对其予以赘述。Referring to Figure 22, in some embodiments, another temporary carrier TC3 is attached to the insulating material 20', optionally opposite the temporary carrier TC2. In some embodiments where insulating material 20' is thinned to expose semiconductor die 10A" at
参照图23,在一些实施例中,在移除临时载体TC2及临时接合层TB2之后,随后在被暴露出的载体管芯10B的前表面S3处形成多个导电端子30。导电端子30可使用例如溅镀、印刷、镀覆、沉积或类似工艺来形成。导电端子30可由包括铜、铝、金、镍、银、钯、锡、焊料、金属合金、类似材料或其组合在内的导电材料形成。举例来说,导电端子30中的每一者包括凸块31。凸块31可为微凸块、金属柱、无电镀镍钯浸金(electroless nickel-electrolesspalladium-immersion gold,ENEPIG)形成的凸块、受控塌陷芯片连接(controlledcollapse chip connection,C4)凸块、球栅阵列(ball grid array,BGA)凸块或类似物。在凸块31是微凸块的实施例中,两个相邻凸块31之间的凸块节距的范围介于约35μm到约55μm。凸块31可为无焊料的,且可具有实质上垂直(竖直)的侧壁。在一些实施例中,导电端子30中的每一者包括通过例如镀覆、印刷或类似工艺形成在凸块31的顶部上的金属顶盖32。举例来说,金属顶盖32的材料包括镍、锡、锡铅、金、银、钯、镍钯金、镍金、类似物或这些的任意组合。Referring to FIG. 23 , in some embodiments, after the temporary carrier TC2 and the temporary bonding layer TB2 are removed, a plurality of
在一些实施例中,在形成导电端子30之前,如图23中所示,在管芯堆叠100的基础层级10B(0)处形成保护层70。在一些实施例中,保护层70设置在载体管芯10B及绝缘材料20’上,且延伸以覆盖载体管芯10B的前表面S3及绝缘材料20’的表面20a。换句话说,保护层70与绝缘材料20’及载体管芯10B接触。举例来说,保护层70包含例如氧化硅、氮化硅、未经掺杂的硅酸盐玻璃、聚酰亚胺或用于保护下伏结构的其他合适的绝缘材料等钝化材料。在一些实施例中,保护层70包括多个开口OP,所述多个开口OP暴露出载体管芯10B中的每一者的内连线结构220中的下伏导电特征(未示出)的至少部分,以用于进一步的电连接。举例来说,如图23中所示,导电端子30被形成为与通过在保护层70中形成的开口OP暴露出的载体管芯10B的内连线结构220中的导电特征物理接触及电接触。In some embodiments, prior to forming the
作为另外一种选择,可省略保护层70,本公开不限于此。在此种实施例中,导电端子30直接形成在载体管芯10B上,以与载体管芯10B的内连线结构220中的导电特征物理接触及电接触。Alternatively, the
作为另外一种选择,可用重布线路结构(未示出)代替保护层70,重布线路结构包括交替布置的一个或多于一个介电层及一个或多于一个金属化层。在此种实施例中,导电端子30形成在重布线路结构上,以通过重布线路结构中的金属化层与载体管芯10B的内连线结构220中的导电特征电接触。Alternatively, the
参照图24,在一些实施例中,通过剥离工艺从绝缘材料20’及管芯堆叠100移除临时载体TC3及临时接合层TB3。举例来说,剥离工艺包括向临时接合层施加能量、机械剥落、刻蚀或其他合适的移除技术。随后,执行单体化工艺以形成多个分离的各别半导体结构P1a。可通过例如锯切、激光切学或类似工艺沿切割道(未示出)执行单体化。可切穿绝缘材料20’以形成绝缘包封体20。绝缘包封体20暴露出通过电磁干扰屏蔽层60暴露出的管芯堆叠100的底表面100b,且设置在被电磁干扰屏蔽层60覆盖的管芯堆叠100的侧壁100S以及载体管芯10C的侧壁S5及背表面S4的部分处。24, in some embodiments, the temporary carrier TC3 and the temporary bonding layer TB3 are removed from the insulating material 20' and the
在一些实施例中,如图24中所示,半导体结构P1a具有载体管芯10B、设置在载体管芯10B上的管芯堆叠100、形成在载体管芯10B及管芯堆叠100上的绝缘包封体20、夹在绝缘包封体20与载体管芯10B之间以及绝缘包封体20与管芯堆叠100之间的电磁干扰屏蔽层60、设置在载体管芯10B及绝缘包封体20上的保护层70以及设置在绝缘包封体20上的导电端子30。在一些实施例中,载体管芯10B是例如被配置成执行读取、编程、擦除和/或其他操作的逻辑管芯,且管芯堆叠100是例如包括彼此堆叠且通过载体管芯10B编程的存储器管芯的存储器堆叠。在某些实施例中,半导体结构P1a被称为(半导体)器件封装。举例来说,半导体结构P1a的管芯堆叠100中的半导体管芯10A/10A”可为高带宽存储器(HBM)管芯,且载体管芯10B可为对这些存储器管芯提供控制功能的逻辑管芯。换句话说,管芯堆叠100中的半导体管芯10A/10A”与载体管芯10B通过混合接合接合在一起,且彼此电连接及电通信。视产品要求而定,在半导体结构P1a中可采用其他类型的管芯。在本公开中,管芯堆叠100与载体管芯10B一起被称为堆叠结构SS1。In some embodiments, as shown in FIG. 24 , semiconductor structure P1a has a carrier die 10B, a
在一些实施例中,在单体化之后,通过绝缘包封体20来进一步覆盖被电磁干扰屏蔽层60覆盖的载体管芯10B的侧壁S5。举例来说,绝缘包封体20的侧壁20S可在单体化之后与保护层70的侧壁70S实质上齐平。也就是说,绝缘包封体20的侧壁20S与保护层70的侧壁70S对准。在一些实施例中,如图24中所示,管芯堆叠100的侧壁100S远离绝缘包封体20的侧壁20S,且载体管芯10B的侧壁S5也远离绝缘包封体20的侧壁20S。In some embodiments, the sidewall S5 of the carrier die 10B covered by the
如图24及图25(在X-Y平面上的俯视平面图)中所示,对于半导体结构P1a,管芯堆叠100的定位位置在载体管芯10B的定位位置内且在绝缘包封体20的定位位置内,且载体管芯10B的定位位置在绝缘包封体20的定位位置内。换句话说,管芯堆叠100的周边小于载体管芯10B的周边及绝缘包封体20的周边,且载体管芯10B的周边小于绝缘包封体20的周边。As shown in FIGS. 24 and 25 (top plan views on the X-Y plane), for the semiconductor structure P1a, the location of the
然而,本公开不限于此。图30至图44是分别示出根据本公开一些实施例的半导体结构的示意性横截面图。为易于理解,相同的元件用相同的参考编号指定,且本文中不再对其予以赘述。However, the present disclosure is not limited thereto. 30 to 44 are schematic cross-sectional views respectively illustrating semiconductor structures according to some embodiments of the present disclosure. For ease of understanding, the same elements are designated by the same reference numerals and will not be repeated here.
在替代实施例中,可包括附加的绝缘包封体。图30中所绘示的半导体结构P1b相似于图24中所绘示的半导体结构P1a,不同之处在于,半导体结构P1b进一步包括绝缘包封体40。举例来说,如图30中所示,绝缘包封体40至少位于保护层70与绝缘包封体20之间。在一些实施例中,绝缘包封体40是在形成绝缘包封体20之前形成,从而使得绝缘包封体40进一步覆盖被电磁干扰屏蔽层60覆盖的载体管芯10B的侧壁S5。举例来说,绝缘包封体40不仅进一步覆盖被管芯堆叠100暴露出且被电磁干扰屏蔽层60覆盖的载体管芯10B的背表面S4的部分,而且覆盖被电磁干扰屏蔽层60覆盖的管芯堆叠100的侧壁100S的部分。也就是说,绝缘包封体40进一步部分地位于绝缘包封体20与载体管芯10B之间。如图30中所示,举例来说,绝缘包封体20的侧壁20S及保护层70的侧壁70S与绝缘包封体40的侧壁40S实质上共面且对准。In alternate embodiments, additional insulating enclosures may be included. The semiconductor structure P1b shown in FIG. 30 is similar to the semiconductor structure P1a shown in FIG. 24 , except that the semiconductor structure P1b further includes an insulating
在一些实施例中,绝缘包封体40可通过例如旋涂、沉积或类似工艺共形地形成。在一些实施例中,绝缘包封体40的材料可包括氮化物(例如氮化硅)、氧化物(例如氧化硅)或类似物(例如,氮氧化硅、碳化硅、聚合物、类似物)。本公开无具体限制。在本公开中,绝缘包封体40不同于绝缘包封体20。In some embodiments, insulating
在又一替代实施例中,参见图31中所绘示的半导体结构P1c,绝缘包封体20被绝缘包封体40代替。图31中所绘示的半导体结构P1c相似于图24中所绘示的半导体结构P1a,不同之处在于,半导体结构P1c采用绝缘包封体40而不是绝缘包封体20。举例来说,绝缘包封体40完全覆盖电磁干扰屏蔽层60。如图31中所示,举例来说,保护层70的侧壁70S与绝缘包封体40的侧壁40S实质上共面且对准。In yet another alternative embodiment, referring to the semiconductor structure P1c depicted in FIG. 31 , the insulating
在其他替代实施例中,参见图32中所绘示的半导体结构P1d,不存在绝缘包封体(例如,20、40)。图32中所绘示的半导体结构P1d相似于图24中所绘示的半导体结构P1a,不同之处在于,管芯堆叠100及载体管芯10B仅被电磁干扰屏蔽层60覆盖。如图32中所示,举例来说,保护层70的侧壁70S与位于载体管芯10B的侧壁S5上的部分电磁干扰屏蔽层60的的一侧实质上共面且对准。In other alternative embodiments, referring to the semiconductor structure P1d depicted in FIG. 32, there is no insulating encapsulation (eg, 20, 40). The semiconductor structure P1d shown in FIG. 32 is similar to the semiconductor structure P1a shown in FIG. 24 except that the
在一些实施例中,与半导体结构P1a到P1d相比,图33至图36中所绘示的半导体结构P2a到P2d中可分别包括隔离结构(例如,图18中所绘示的50(T-1))。举例来说,图33中所绘示的半导体结构P2a相似于图24中所绘示的半导体结构P1a,不同之处在于,在半导体结构P2a中,隔离结构50(T-1)余留在载体管芯10B及管芯堆叠100上。如图33中所示,隔离结构50(T-1)覆盖管芯堆叠100的侧壁100S的部分、载体管芯10B的侧壁S5及被管芯堆叠100暴露出的载体管芯10B的背表面S4。举例来说,隔离结构50(T-1)位于管芯堆叠100/载体管芯10B与绝缘包封体20之间。如图33中所示,保护层70位于载体管芯10B、隔离结构50(T-1)、电磁干扰屏蔽层60及绝缘包封体20上,且内部层级(例如,10A(1)到10A(T-1))的侧壁被隔离结构50(T-1)覆盖。相似地,如图34至图36中所示,隔离结构也可被引入半导体结构P1b、P1c及P1d,以分别形成半导体结构P2b、P2c及P2d。In some embodiments, compared with the semiconductor structures P1a to P1d, the semiconductor structures P2a to P2d shown in FIGS. 33 to 36 may include isolation structures (eg, 50(T- 1)). For example, the semiconductor structure P2a shown in FIG. 33 is similar to the semiconductor structure P1a shown in FIG. 24 except that, in the semiconductor structure P2a, the isolation structure 50 (T-1) remains on the carrier On
另一方面,在一些实施例中,与半导体结构P2a到P2d相比,图37至图40中分别绘示的半导体结构P3a到P3d中可不包括电磁干扰屏蔽元件(例如,60或60A)。On the other hand, in some embodiments, EMI shielding elements (eg, 60 or 60A) may not be included in the semiconductor structures P3a-P3d shown in FIGS. 37-40, respectively, as compared to the semiconductor structures P2a-P2d.
在一些实施例中,与半导体结构P1a到P1d相比,图41至图44中分别绘示的半导体结构P4a到P4d中可不包括电磁干扰屏蔽元件(例如,60或60A)。图41中所绘示的半导体结构P4a相似于图24中所绘示的半导体结构P1a,不同之处在于,在半导体结构P4a中,电磁干扰屏蔽层60被从载体管芯10B及管芯堆叠100移除。如图41中所示,管芯堆叠100的侧壁100S、载体管芯10B的侧壁S5及被管芯堆叠100暴露出的载体管芯10B的背表面S4与绝缘包封体20物理接触。相似地,如图41至图44中所示,也可从半导体结构P1b、P1c及P1d移除电磁干扰屏蔽元件,以分别形成半导体结构P4b、P4c及P4d。In some embodiments, EMI shielding elements (eg, 60 or 60A) may not be included in the semiconductor structures P4a-P4d shown in FIGS. 41-44, respectively, as compared to the semiconductor structures P1a-P1d. The semiconductor structure P4a depicted in FIG. 41 is similar to the semiconductor structure P1a depicted in FIG. 24 except that in the semiconductor structure P4a the
图45是示出根据本公开一些实施例的半导体结构的示意性横截面图。图46A及图46B是分别示出根据本公开一些实施例的半导体结构的半导体管芯、载体管芯及绝缘包封体之间的相对位置的示意性俯视图。为易于理解,相同的元件用相同的参考编号指定,且本文中不再对其予以赘述。举例来说,图45是沿图46A中所绘示的横截面线II-II截取的半导体结构P5的示意性横截面图。45 is a schematic cross-sectional view illustrating a semiconductor structure according to some embodiments of the present disclosure. 46A and 46B are schematic top views, respectively, illustrating the relative positions among a semiconductor die, a carrier die, and an insulating encapsulant of a semiconductor structure according to some embodiments of the present disclosure. For ease of understanding, the same elements are designated by the same reference numerals and will not be repeated here. For example, FIG. 45 is a schematic cross-sectional view of semiconductor structure P5 taken along cross-sectional line II-II depicted in FIG. 46A.
图41中所绘示的半导体结构P5相似于图24中所绘示的半导体结构P1a,不同之处在于,在半导体结构P4a中,多个管芯堆叠100设置在一个基础层级10B(0)上。换句话说,在半导体结构P5中,多个半导体管芯(例如,10A及10A”)设置在一个载体管芯10B上。在一些实施例中,通过混合接合,管芯堆叠100以面对背配置接合到基础层级10B(0)处的载体管芯10B。在本公开中,管芯堆叠100及载体管芯10B一起被称为堆叠结构SS2。The semiconductor structure P5 shown in FIG. 41 is similar to the semiconductor structure P1a shown in FIG. 24, except that in the semiconductor structure P4a, a plurality of
如图45及图46A中所示,举例来说,管芯堆叠100以矩阵形式布置在载体管芯10B上,例如N×N或N×M阵列(N、M>0,N可等于或可不等于M)。管芯堆叠100的阵列大小可基于需求来指定及选择,且不限于本公开。在一些实施例中,管芯堆叠100布置成图46A中所绘示的1×3阵列。然而,本公开不限于此;在替代实施例中,管芯堆叠100可布置成图46B中所绘示的2×2阵列。As shown in FIGS. 45 and 46A, for example, the die stacks 100 are arranged on the carrier die 10B in a matrix, such as an NxN or NxM array (N, M>0, N may or may not be equal to equal to M). The array size of the
在一些实施例中,对于半导体结构P5,管芯堆叠100的定位位置在载体管芯10B的定位位置内及绝缘包封体20的定位位置内,且载体管芯10B的定位位置在绝缘包封体20的定位位置内。换句话说,载体管芯10B及绝缘包封体20与并排布置的多个管芯堆叠100交叠。In some embodiments, for semiconductor structure P5, the location of the
图47是示出根据本公开一些实施例的半导体结构的示意性横截面图。图48A及图48B是分别示出根据本公开一些实施例的半导体结构的半导体管芯、载体管芯及绝缘包封体之间的相对位置的示意性俯视图。为易于理解,相同的元件用相同的参考编号指定,且本文中不再对其予以赘述。举例来说,图47是沿图48A中所绘示的横截面线III-III截取的半导体结构P6的示意性横截面图。47 is a schematic cross-sectional view illustrating a semiconductor structure according to some embodiments of the present disclosure. 48A and 48B are schematic top views, respectively, illustrating the relative positions among a semiconductor die, a carrier die, and an insulating encapsulant of a semiconductor structure according to some embodiments of the present disclosure. For ease of understanding, the same elements are designated by the same reference numerals and will not be repeated here. For example, FIG. 47 is a schematic cross-sectional view of semiconductor structure P6 taken along cross-sectional line III-III depicted in FIG. 48A.
图47中所绘示的半导体结构P6相似于图24中所绘示的半导体结构P1a,不同之处在于,半导体结构P4a包括多个堆叠结构SS1(在图24中绘示)。如图47及图48A中所示,举例来说,堆叠结构SS1以矩阵形式并排布置在例如N×N或N×M阵列中(N、M>0,N可等于或可不等于M)。堆叠结构SS1的阵列大小可基于需求来指定及选择,且不限于本公开。在一些实施例中,堆叠结构SS1布置成图48A中所绘示的1×3阵列。然而,本公开不限于此;在替代实施例中,堆叠结构SS1可布置成图48B中所绘示的2×2阵列。The semiconductor structure P6 shown in FIG. 47 is similar to the semiconductor structure P1a shown in FIG. 24, except that the semiconductor structure P4a includes a plurality of stacked structures SS1 (shown in FIG. 24). As shown in FIGS. 47 and 48A , for example, the stacked structures SS1 are arranged side by side in a matrix, eg, in an N×N or N×M array (N, M>0, N may or may not be equal to M). The array size of the stack structure SS1 can be specified and selected based on requirements and is not limited to the present disclosure. In some embodiments, the stacked structures SS1 are arranged in a 1×3 array as depicted in FIG. 48A . However, the present disclosure is not so limited; in alternative embodiments, the stacked structures SS1 may be arranged in a 2×2 array as depicted in FIG. 48B .
如图47及图48(在X-Y平面上的俯视平面图)中所示,对于半导体结构P6,每一管芯堆叠100的定位位置在每一载体管芯10B的定位位置内且在绝缘包封体20的定位位置内,且每一载体管芯10B的定位位置在绝缘包封体20的定位位置内。换句话说,每一管芯堆叠100的周边小于每一载体管芯10B的周边及绝缘包封体20的周边,且每一载体管芯10B的周边小于绝缘包封体20的周边。As shown in FIGS. 47 and 48 (top plan views in the X-Y plane), for semiconductor structure P6, the location of each die
另外,堆叠结构SS1可部分或全部由图45中所绘示的堆叠结构SS2替代。本公开不限于此。In addition, the stacked structure SS1 may be partially or completely replaced by the stacked structure SS2 shown in FIG. 45 . The present disclosure is not limited thereto.
图49至图56是示出根据本公开一些实施例的半导体结构制造方法中各种阶段的示意性横截面图。图57是示出根据本公开一些实施例的半导体结构的半导体管芯、载体管芯及绝缘包封体之间的相对位置的示意性俯视图。举例来说,图49是沿图57中所绘示的横截面线IV-IV截取的半导体结构P7的示意性横截面图。为易于理解,相同的元件用相同的参考编号指定,且本文中不再对其予以赘述。49-56 are schematic cross-sectional views illustrating various stages in a method of fabricating a semiconductor structure according to some embodiments of the present disclosure. 57 is a schematic top view illustrating the relative positions between a semiconductor die, a carrier die, and an insulating encapsulant of a semiconductor structure according to some embodiments of the present disclosure. For example, FIG. 49 is a schematic cross-sectional view of semiconductor structure P7 taken along cross-sectional line IV-IV depicted in FIG. 57 . For ease of understanding, the same elements are designated by the same reference numerals and will not be repeated here.
参照图49,在一些实施例中,提供半导体晶片W2。在一些实施例中,半导体晶片W2包括彼此连接的多个半导体管芯10B’。图5中已阐述半导体管芯10B’的细节,因此为简单起见,本文中不再对其予以赘述。举例来说,半导体管芯10B’中的每一者可包括集成电路器件(例如,逻辑管芯、存储器管芯、射频管芯、功率管理管芯、微机电系统(MEMS)管芯、类似物或这些的组合)。49, in some embodiments, a semiconductor wafer W2 is provided. In some embodiments, semiconductor wafer W2 includes a plurality of semiconductor dies 10B' connected to each other. The details of semiconductor die 10B' have already been set forth in FIG. 5 and are therefore not repeated herein for the sake of brevity. For example, each of the semiconductor dies 10B' may include integrated circuit devices (eg, logic dies, memory dies, radio frequency dies, power management dies, microelectromechanical systems (MEMS) dies, the like) or a combination of these).
参照图50,在一些实施例中,通过临时接合层TB4将半导体晶片W2设置在临时载体TC4上。在一些实施例中,在临时载体TC4上沉积临时接合层TB4,且通过将半导体管芯10B’的前表面S3放置成与临时接合层TB4接触而通过临时接合层TB4将半导体晶片W2贴合到临时载体TC4。作为另外一种选择,可省略临时接合层TB4。临时接合层TB4及临时载体TC4的形成和/或材料相似于图2中所阐述的临时接合层TB1及临时载体TC1的形成和/或材料,因此本文中不再对其予以赘述。如图50中所示,举例来说,半导体管芯10B’的底表面210b’面朝上以用于后续处理。50, in some embodiments, semiconductor wafer W2 is disposed on temporary carrier TC4 through temporary bonding layer TB4. In some embodiments, a temporary bonding layer TB4 is deposited on the temporary carrier TC4, and the semiconductor wafer W2 is bonded to the temporary bonding layer TB4 through the temporary bonding layer TB4 by placing the front surface S3 of the
参照图51,在一些实施例中,处理半导体晶片W2以形成具有彼此连接的多个半导体管芯10B的半导体晶片W2’。在一些实施例中,半导体管芯10B被称为载体管芯10B。举例来说,通过图7至图10中所阐述的步骤来处理半导体晶片W2,因此为简洁起见,不再予以赘述。如图51中所示,载体管芯10B中的每一者包括半导体衬底210、内连线结构220、隔离层240及多个导通孔230,半导体衬底210中形成有多个半导体器件,内连线结构220形成在半导体衬底210之上且包括接近前表面S3的多个介电层及多个金属化图案,隔离层240与内连线结构220相对地形成在半导体衬底210之上,且导通孔230形成在半导体衬底210中、延伸到内连线结构220的介电层内以与内连线结构220的金属化图案物理接触及电接触且穿透隔离层240。载体管芯10B中的每一者的导通孔230可通过内连线结构220的金属化图案电耦合到半导体衬底210中的半导体器件。在一些实施例中,半导体管芯10B各自具有前表面S3及与前表面S3相对的背表面S4。在一些实施例中,载体管芯10B中的每一者被称为一个管芯堆叠100的一个基础层级10B(0)。51, in some embodiments, semiconductor wafer W2 is processed to form semiconductor wafer W2' having a plurality of semiconductor dies 10B connected to each other. In some embodiments, semiconductor die 10B is referred to as carrier die 10B. For example, the semiconductor wafer W2 is processed through the steps set forth in FIGS. 7 to 10 , so for the sake of brevity, detailed descriptions are omitted. As shown in FIG. 51 , each of the carrier dies 10B includes a
参照图52,在一些实施例中,提供第一组的多个半导体管芯10A”,其中这些半导体管芯10A”堆叠在载体管芯10B上。举例来说,如结合图1至图4所阐述般制作半导体管芯10A”。在一些实施例中,通过混合接合工艺将半导体管芯10A”以面对背配置接合到载体管芯10B。结合图26A及图26B(示出由虚线框(或虚线区域)A指示的放大横截面图),在图11中阐述了所述接合工艺及接合关系/配置,且因此本文中不再对其予以赘述。举例来说,如图52中所示,半导体管芯10A”的前表面S1分别接合到载体管芯10B的背表面S4。Referring to FIG. 52, in some embodiments, a first set of multiple semiconductor dies 10A" are provided, wherein the semiconductor dies 10A" are stacked on a carrier die 10B. For example, semiconductor die 10A" is fabricated as described in connection with Figures 1-4. In some embodiments, semiconductor die 10A" is bonded to carrier die 10B in a face-to-back configuration by a hybrid bonding process. The bonding process and bonding relationship/configuration is illustrated in FIG. 11 in conjunction with FIGS. 26A and 26B (showing an enlarged cross-sectional view indicated by the dashed box (or dashed area) A), and is therefore no longer referred to herein. be repeated. For example, as shown in FIG. 52, the front surfaces S1 of the semiconductor die 10A" are respectively bonded to the back surfaces S4 of the carrier die 10B.
参照图53,在一些实施例中,对第一组的半导体管芯10A”进行处理,以在管芯堆叠100中形成第一层级10A(1)的多个半导体管芯10A。举例来说,通过图12至图16中所阐述的步骤来处理半导体管芯10A”,因此为简洁起见,不再予以赘述。如图53中所示,半导体管芯10A中的每一者包括半导体衬底110、内连线结构120、多个导通孔130、介电层140、多个接合导体150及隔离层160,半导体衬底110中形成有多个半导体器件(未示出),内连线结构120形成在半导体衬底110上,所述多个导通孔130形成在半导体衬底110中且延伸到内连线结构120内,介电层140形成在内连线结构120上且与半导体衬底110相对,所述多个接合导体150形成在内连线结构120之上且被介电层140侧向覆盖,隔离层160与内连线结构120相对地设置在半导体衬底110上,且导通孔130穿透隔离层160。在一些实施例中,半导体管芯10A各自具有前表面S1及与前表面S1相对的背表面S2。举例来说,如图53中所示,半导体管芯10A通过隔离结构50(1)彼此分离,其中隔离结构50(1)位于半导体晶片W2’之上。Referring to FIG. 53 , in some embodiments, the semiconductor dies 10A″ of the first group are processed to form the plurality of semiconductor dies 10A of the
参照图54,在一些实施例中,提供第二组的多个半导体管芯10A”,且这些半导体管芯10A”分别堆叠在第一层级10A(1)的半导体管芯10A上。在本公开中,每一半导体管芯10A”(来自第二组)以面对背配置设置在第一层级10A(1)的半导体管芯10A中的一者上,以用于形成管芯堆叠100的第二层级(例如,图55中所绘示的10A(2))。在一些实施例中,通过混合接合工艺将半导体管芯10A”(来自第二组)接合到第一层级10A(1)的半导体管芯10A。结合图27A及图27B(示出由虚线框(或虚线区域)B指示的放大横截面图),在图17中阐述了所述接合工艺及接合关系/配置,且因此本文中不再对其予以赘述。举例来说,如图54中所示,半导体管芯10A”(来自第二组)的前表面S1分别接合到第一层级10A(1)的半导体管芯10A的背表面S2。举例来说,导通孔130尚未被半导体衬底110以可触及的方式显露出。Referring to FIG. 54, in some embodiments, a second plurality of semiconductor dies 10A" are provided, and the semiconductor dies 10A" are respectively stacked on the semiconductor dies 10A of the
参照图55,在一些实施例中,在载体管芯10B之上形成管芯堆叠100。举例来说,管芯堆叠100中的每一者包括至少一个内部层级(例如10A(1)到10A(T-1))及最顶部层级10A(T)。在一些实施例中,对图54中所绘示的结构进行处理以形成管芯堆叠100。举例来说,在每一管芯堆叠100中,可通过与图53中所阐述的形成第一层级10A(1)相同的步骤来制作每一内部层级(例如,10A(2)到10A(T-1)),且可通过图54中所阐述的工艺来制作最顶部层级10A(T),且因此为简洁起见,不再予以赘述。也就是说,可通过重复如图12至图16所述的步骤来各别地制作内部层级(例如,10A(1)到10A(T-1))处的半导体管芯10A,且可通过重复如图17所述的步骤来制作最顶部层级10A(T)。如此,由于使用了相似的形成步骤,因此每一管芯堆叠100的第一层级10A(1)到第(T-1)层级10A(T-1)处的半导体管芯10A在配置、功能及性质上可相似或相同。在一些实施例中,在形成管芯堆叠100之后,从半导体晶片W2’移除隔离结构。举例来说,对于每一管芯堆叠100的每两个相邻层级,上部层级以面对背配置接合到下部层级。通过此种接合(不使用任何其他外部连接件),实现了具有更好形状因数且在器件中具有更高密度的管芯堆叠的半导体结构P7。55, in some embodiments, a
参照图56,在一些实施例中,通过用图20至图24中阐述的步骤处理图55中所绘示的结构来制造半导体结构P7,因此为简洁起见,不再予以赘述。在一些实施例中,半导体结构P7具有载体管芯10B、管芯堆叠100、绝缘包封体20、电磁干扰屏蔽层60、保护层70及导电端子30,管芯堆叠100设置在载体管芯10B上,绝缘包封体20形成在载体管芯10B及管芯堆叠100上,电磁干扰屏蔽层60夹在绝缘包封体20与载体管芯10B之间以及绝缘包封体20与管芯堆叠100之间,保护层70设置在载体管芯10上,导电端子30设置在绝缘包封体20上。如图56中所示,举例来说,绝缘包封体20的侧壁20S与载体管芯10B的侧壁S5、电磁干扰屏蔽层60的侧壁60S及保护层70的侧壁70S对准。也就是说,绝缘包封体20的侧壁20S可与载体管芯10B的侧壁S5、电磁干扰屏蔽层60的侧壁60S及保护层70的侧壁70S实质上齐平且共面。Referring to FIG. 56, in some embodiments, the semiconductor structure P7 is fabricated by processing the structure depicted in FIG. 55 with the steps set forth in FIGS. In some embodiments, semiconductor structure P7 has
如图56及图57(在X-Y平面上的俯视平面图)中所示,对于半导体结构P7,管芯堆叠100的定位位置在载体管芯10B的定位位置内及绝缘包封体20的定位位置内,其中载体管芯10B的边缘与绝缘包封体20的边缘交叠。换句话说,管芯堆叠100的周边小于载体管芯10B的周边及绝缘包封体20的周边,且载体管芯10B的周边实质上等于绝缘包封体20的周边。As shown in FIGS. 56 and 57 (top plan views in the X-Y plane), for semiconductor structure P7, the location of the
另外,对半导体结构P1a的修改也可被半导体结构P5、P6及P7采用。由于在图30至图44中阐述了对半导体结构P1a的修改的细节,因此为简洁起见,不再予以赘述。Additionally, modifications to semiconductor structure P1a may also be employed by semiconductor structures P5, P6, and P7. Since the details of the modification to the semiconductor structure P1a are set forth in FIGS. 30 to 44, they are not repeated for the sake of brevity.
图58是示出根据本公开一些实施例的半导体结构的应用的示意性横截面图。为易于理解,相同的元件用相同的参考编号指定,且本文中不再对其予以赘述。参照图58,提供包括第一组件C1及设置在第一组件C1之上的第二组件C2的组件总成SC。第一组件C1可为或可包括中介层、封装衬底、印刷电路板(printed circuit board,PCB)、印刷线路板和/或能够承载集成电路的其他载体。在一些实施例中,安装在第一组件C1上的第二组件C2相似于上文所描述的半导体结构P1a-P1d、P2a-P2d、P3a-P3d、P4a-P4d、P5、P6及P7中的一者。举例来说,一个或多个半导体结构(例如,P1a-P1d、P2a-P2d、P3a-P3d、P4a-P4d、P5、P6及P7)可通过多个端子CT电耦合到第一组件C1。端子CT可为导电端子30。58 is a schematic cross-sectional view illustrating the application of a semiconductor structure according to some embodiments of the present disclosure. For ease of understanding, the same elements are designated by the same reference numerals and will not be repeated here. Referring to Figure 58, an assembly assembly SC is provided that includes a first assembly C1 and a second assembly C2 disposed over the first assembly C1. The first component C1 may be or may include an interposer, a package substrate, a printed circuit board (PCB), a printed circuit board, and/or other carriers capable of carrying integrated circuits. In some embodiments, the second component C2 mounted on the first component C1 is similar to the semiconductor structures P1a-P1d, P2a-P2d, P3a-P3d, P4a-P4d, P5, P6, and P7 described above one. For example, one or more semiconductor structures (eg, P1a-P1d, P2a-P2d, P3a-P3d, P4a-P4d, P5, P6, and P7) may be electrically coupled to the first component C1 through a plurality of terminals CT. The terminal CT may be the
在一些实施例中,在第一组件C1与第二组件C2的间隙之间形成底胶层UF,以至少侧向覆盖端子CT。作为另外一种选择,省略底胶层UF。在一个实施例中,可通过底胶层分配(underfill dispensing)或任何其他合适的方法形成底胶层UF。在一些实施例中,底胶层UF的材料可与绝缘包封体20、40的材料相同或不同,本公开不限于此。由于底胶层UF,第一组分C1与第二组分C2之间的接合强度得到增强。In some embodiments, an underfill layer UF is formed between the gap between the first component C1 and the second component C2 to at least laterally cover the terminal CT. Alternatively, the primer layer UF is omitted. In one embodiment, the undersize UF may be formed by underfill dispensing or any other suitable method. In some embodiments, the material of the primer layer UF may be the same as or different from the material of the insulating
在一些其他实施例中,安装在第一组件C1上的第二组件C2可为集成扇出型(integrated fan-out,InFO)封装,InFO封装包括封装在其中的至少一个半导体结构(例如,上文结合图24、图31至图44、图45、图47及图56阐述的P1a-P1d、P2a-P2d、P3a-P3d、P4a-P4d、P5、P6及P7)。举例来说,第二组件C2包括并排设置且被封装包封体(未示出;例如,模制化合物)环绕的多个半导体结构(例如,半导体结构P1a-P1d、P2a-P2d、P3a-P3d、P4a-P4d、P5、P6及P7的任意组合)。第二组件C2可进一步包括形成在封装包封体与被封装包封体侧向包封的这些半导体结构上的扇出型重布线结构(未示出),且扇出型重布线结构可电耦合到这些半导体结构。在此种实施例中,端子CT可为受控塌陷芯片连接(C4)凸块、球栅阵列(BGA)凸块、尺寸大于半导体结构的导电端子的其他合适的端子和/或类似物。举例来说,端子CT形成在扇出型重布线结构上以电耦合到第一组件C1,且这些半导体结构通过扇出型重布线结构电耦合到端子CT。In some other embodiments, the second component C2 mounted on the first component C1 may be an integrated fan-out (InFO) package that includes at least one semiconductor structure packaged therein (eg, on P1a-P1d, P2a-P2d, P3a-P3d, P4a-P4d, P5, P6, and P7) described herein in conjunction with Figures 24, 31-44, 45, 47, and 56. For example, the second component C2 includes a plurality of semiconductor structures (eg, semiconductor structures P1a-P1d, P2a-P2d, P3a-P3d) arranged side-by-side and surrounded by a packaging encapsulant (not shown; eg, molding compound) , any combination of P4a-P4d, P5, P6 and P7). The second component C2 may further include a fan-out redistribution structure (not shown) formed on the encapsulation body and the semiconductor structures laterally encapsulated by the encapsulation body, and the fan-out redistribution structure may electrically coupled to these semiconductor structures. In such embodiments, the terminals CT may be controlled collapse chip attach (C4) bumps, ball grid array (BGA) bumps, other suitable terminals having dimensions larger than the conductive terminals of the semiconductor structure, and/or the like. For example, the terminal CT is formed on the fan-out rewiring structure to be electrically coupled to the first component C1, and the semiconductor structures are electrically coupled to the terminal CT through the fan-out rewiring structure.
可使用其他封装技术来形成组件总成SC,此在本公开中不受限制。举例来说,使用晶片级封装(wafer level packaging,WLP)、衬底上晶片上有芯片(chip-on-wafer-on-substrate,CoWoS)工艺、衬底上芯片上有芯片(chip-on-chip-on-substrate,CoCoS)工艺等形成组件总成SC。组件总成SC可为用于例如计算机(例如,高性能计算机)、与人工智能系统结合使用的计算器件、无线通信器件、计算机相关外围器件、娱乐器件等电子系统的部分。包括本文中所论述的半导体结构的组件总成SC可提供高带宽数据通信。应注意,其他电子应用也是可能的。作为另外一种选择,附加端子可和端子CT相对地与第一组件C1物理接触及电接触,以用于与任何其他外部组件电连接。The component assembly SC may be formed using other packaging techniques, which are not limited in this disclosure. For example, using wafer level packaging (WLP), chip-on-wafer-on-substrate (CoWoS) process, chip-on-substrate (chip-on-substrate) A chip-on-substrate, CoCoS) process, etc. forms a component assembly SC. Component assembly SC may be part of an electronic system for use in, for example, computers (eg, high performance computers), computing devices used in conjunction with artificial intelligence systems, wireless communication devices, computer-related peripheral devices, entertainment devices, and the like. Component assemblies SC including the semiconductor structures discussed herein can provide high bandwidth data communications. It should be noted that other electronic applications are also possible. Alternatively, additional terminals may be in physical and electrical contact with the first component C1 opposite the terminal CT for electrical connection with any other external components.
根据一些实施例,一种半导体结构包括堆叠结构。所述堆叠结构包括第一半导体管芯及第二半导体管芯。所述第一半导体管芯包括具有第一有源表面及与所述第一有源表面相对的第一背表面的第一半导体衬底。所述第二半导体管芯位于所述第一半导体管芯之上,且包括具有第二有源表面及与所述第二有源表面相对的第二背表面的第二半导体衬底。所述第二半导体管芯通过沿垂直方向在第一混合接合界面处将所述第二有源表面结合到所述第一背表面而接合到所述第一半导体管芯。沿侧向方向,所述第一半导体管芯的第一尺寸大于所述第二半导体管芯的第二尺寸。According to some embodiments, a semiconductor structure includes a stacked structure. The stacked structure includes a first semiconductor die and a second semiconductor die. The first semiconductor die includes a first semiconductor substrate having a first active surface and a first back surface opposite the first active surface. The second semiconductor die is located over the first semiconductor die and includes a second semiconductor substrate having a second active surface and a second back surface opposite the second active surface. The second semiconductor die is bonded to the first semiconductor die by bonding the second active surface to the first back surface at a first hybrid bonding interface in a vertical direction. In the lateral direction, the first dimension of the first semiconductor die is larger than the second dimension of the second semiconductor die.
根据一些实施例,在所述的半导体结构中,其中所述第一半导体管芯进一步包括穿透所述第一半导体衬底的多个第一导通孔,且所述第二半导体管芯进一步包括穿透所述第二半导体衬底的多个第二导通孔,且其中所述多个第一导通孔在所述第一混合接合界面处分别结合到所述多个第二导通孔,且所述第一半导体管芯通过所述多个第一导通孔及所述多个第二导通孔与所述第二半导体管芯电连接及电通信。根据一些实施例,在所述的半导体结构中,其中所述第二半导体管芯包括具有多个第二半导体管芯的第一堆叠,其中具有所述多个第二半导体管芯的所述第一堆叠中的两个相邻第二半导体管芯通过另一混合接合界面彼此接合,且所述两个相邻第二半导体管芯中的一者的第二有源表面在所述另一混合接合界面处结合到所述两个相邻第二半导体管芯中的另一者的第二背表面,其中所述第一堆叠中的所述多个第二半导体管芯通过所述多个第二导通孔彼此电连接及电通信,且通过所述多个第一导通孔及所述多个第二导通孔与所述第一半导体管芯电连接及电通信。根据一些实施例,在所述的半导体结构中,其中所述堆叠结构进一步包括:第三半导体管芯,包括第三半导体衬底及嵌入所述第三半导体衬底中的多个第三导通孔,且具有第三有源表面及与所述第三有源表面相对的第三背表面,其中:所述第三半导体管芯通过沿所述垂直方向在第二混合接合界面处将所述第三有源表面结合到所述第一堆叠中与所述第一半导体管芯相对的最外部第二半导体管芯的第二背表面而接合到所述最外部第二半导体管芯,沿所述侧向方向,所述第三半导体管芯的第三尺寸实质上等于每一第二半导体管芯的所述第二尺寸,且所述多个第三导通孔在所述第二混合接合界面处分别结合到所述最外部第二半导体管芯的多个第二导通孔,且所述第三半导体管芯与所述第一半导体管芯及具有所述多个第二半导体管芯的所述第一堆叠电连接及电通信。根据一些实施例,在所述的半导体结构中,其中所述第二半导体管芯进一步包括位于所述第一半导体管芯上的具有多个第二半导体管芯的至少一个第二堆叠,且具有所述多个第二半导体管芯的所述至少一个第二堆叠与具有所述多个第二半导体管芯的所述第一堆叠沿所述侧向方向并排布置,其中具有所述多个第二半导体管芯的所述至少一个第二堆叠中的两个相邻第二半导体管芯通过另一混合接合界面彼此接合,且所述两个相邻第二半导体管芯中的一者的第二有源表面在所述另一混合接合界面处结合到所述两个相邻第二半导体管芯中的另一者的第二背表面,其中所述至少一个第二堆叠中的所述多个第二半导体管芯通过所述多个第二导通孔彼此电连接及电通信,且通过所述多个第一导通孔及所述多个第二导通孔与所述第一半导体管芯电连接及电通信。根据一些实施例,在所述的半导体结构中,其中所述堆叠结构进一步包括:第三半导体管芯,包括第三半导体衬底及嵌入所述第三半导体衬底中的多个第三导通孔,且具有第三有源表面及与所述第三有源表面相对的第三背表面,其中:所述第三半导体管芯通过沿所述垂直方向在第二混合接合界面处将所述第三有源表面结合到所述第一堆叠中与所述第一半导体管芯相对的最外部第二半导体管芯的第二背表面而接合到所述第一堆叠中的所述最外部第二半导体管芯,沿所述侧向方向,所述第三半导体管芯的第三尺寸实质上等于所述第一堆叠中的每一第二半导体管芯的所述第二尺寸,且所述多个第三导通孔在所述第二混合接合界面处分别结合到所述第一堆叠中的所述最外部第二半导体管芯的多个第二导通孔,且所述第三半导体管芯与所述第一半导体管芯及具有所述多个第二半导体管芯的所述第一堆叠电连接及电通信;以及至少一个第四半导体管芯,包括第四半导体衬底及嵌入所述第四半导体衬底中的多个第四导通孔,且具有第四有源表面及与所述第四有源表面相对的第四背表面,其中:所述至少一个第四半导体管芯通过沿所述垂直方向在第三混合接合界面处将所述第四有源表面结合到所述至少一个第二堆叠中与所述第一半导体管芯相对的最外部第二半导体管芯的第二背表面而接合到所述至少一个第二堆叠中的所述最外部第二半导体管芯,沿所述侧向方向,所述至少一个第四半导体管芯的第四尺寸实质上等于所述至少一个第二堆叠中的每一第二半导体管芯的所述第二尺寸,且所述多个第四导通孔在所述第三混合接合界面处分别结合到所述至少一个第二堆叠中的所述最外部第二半导体管芯的多个第二导通孔,且所述至少一个第四半导体管芯与所述第一半导体管芯及具有所述多个第二半导体管芯的所述至少一个第二堆叠电连接及电通信。根据一些实施例,在所述的半导体结构中,其中所述第二半导体衬底进一步包括侧壁及修圆边缘,所述修圆边缘连接所述第二背表面与所述侧壁;或者侧壁及斜面边缘,所述斜面边缘连接所述第二背表面与所述侧壁。根据一些实施例,所述的半导体结构进一步包括:多个导电端子,位于所述第一半导体管芯的所述第一有源表面上,且电连接到所述第一半导体管芯。根据一些实施例,在所述的半导体结构中,其中所述堆叠结构包括两个或多于两个堆叠结构。According to some embodiments, in the semiconductor structure, wherein the first semiconductor die further comprises a plurality of first vias penetrating the first semiconductor substrate, and the second semiconductor die further comprises including a plurality of second vias penetrating the second semiconductor substrate, and wherein the plurality of first vias are respectively bonded to the plurality of second vias at the first hybrid bonding interface holes, and the first semiconductor die is electrically connected and in electrical communication with the second semiconductor die through the plurality of first vias and the plurality of second vias. According to some embodiments, in the semiconductor structure, wherein the second semiconductor die includes a first stack having a plurality of second semiconductor dies, wherein the first stack having the plurality of second semiconductor dies Two adjacent second semiconductor dies in a stack are bonded to each other by another hybrid bonding interface, and the second active surface of one of the two adjacent second semiconductor dies is in the other hybrid a second back surface bonded to the other of the two adjacent second semiconductor dies at a bonding interface, wherein the plurality of second semiconductor dies in the first stack pass through the plurality of second semiconductor dies The two vias are electrically connected and in electrical communication with each other, and are electrically connected and in electrical communication with the first semiconductor die through the plurality of first vias and the plurality of second vias. According to some embodiments, in the described semiconductor structure, wherein the stacked structure further comprises: a third semiconductor die including a third semiconductor substrate and a plurality of third vias embedded in the third semiconductor substrate a hole having a third active surface and a third back surface opposite the third active surface, wherein: the third semiconductor die attaches the third semiconductor die at the second hybrid bonding interface along the vertical direction A third active surface is bonded to the second back surface of the outermost second semiconductor die in the first stack opposite the first semiconductor die and is bonded to the outermost second semiconductor die, along all the In the lateral direction, the third dimension of the third semiconductor die is substantially equal to the second dimension of each of the second semiconductor die, and the plurality of third vias are in the second hybrid bond a plurality of second vias bonded to the outermost second semiconductor die at interfaces, respectively, and the third semiconductor die and the first semiconductor die and having the plurality of second semiconductor dies The first stack is electrically connected and in electrical communication. According to some embodiments, in the semiconductor structure, wherein the second semiconductor die further includes at least one second stack having a plurality of second semiconductor dies on the first semiconductor die and having The at least one second stack of the plurality of second semiconductor dies is arranged side by side with the first stack having the plurality of second semiconductor dies in the lateral direction, with the plurality of second semiconductor dies having the plurality of second semiconductor dies. Two adjacent second semiconductor dies in the at least one second stack of two semiconductor dies are bonded to each other by another hybrid bonding interface, and the first one of the two adjacent second semiconductor dies is Two active surfaces are bonded to the second back surface of the other of the two adjacent second semiconductor dies at the other hybrid bonding interface, wherein the plurality of the at least one second stack second semiconductor dies are electrically connected and in electrical communication with each other through the plurality of second vias, and with the first semiconductor through the plurality of first vias and the plurality of second vias Die electrical connections and electrical communication. According to some embodiments, in the described semiconductor structure, wherein the stacked structure further comprises: a third semiconductor die including a third semiconductor substrate and a plurality of third vias embedded in the third semiconductor substrate a hole having a third active surface and a third back surface opposite the third active surface, wherein: the third semiconductor die attaches the third semiconductor die at the second hybrid bonding interface along the vertical direction A third active surface is bonded to the second back surface of the outermost second semiconductor die in the first stack opposite the first semiconductor die and is bonded to the outermost second semiconductor die in the first stack Two semiconductor dies, along the lateral direction, the third semiconductor die has a third dimension substantially equal to the second dimension of each second semiconductor die in the first stack, and the A plurality of third vias are respectively bonded to a plurality of second vias of the outermost second semiconductor die in the first stack at the second hybrid bonding interface, and the third semiconductor a die is electrically connected and in electrical communication with the first semiconductor die and the first stack having the plurality of second semiconductor dies; and at least one fourth semiconductor die including a fourth semiconductor substrate and embedded a plurality of fourth vias in the fourth semiconductor substrate having a fourth active surface and a fourth back surface opposite to the fourth active surface, wherein: the at least one fourth semiconductor transistor core by bonding the fourth active surface to an outermost second semiconductor die in the at least one second stack opposite the first semiconductor die at a third hybrid bonding interface in the vertical direction second back surface bonded to the outermost second semiconductor die in the at least one second stack, the at least one fourth semiconductor die has a fourth dimension in the lateral direction that is substantially equal to the the second dimension of each second semiconductor die in the at least one second stack, and the plurality of fourth vias are respectively bonded to the at least one second via at the third hybrid bonding interface a plurality of second vias of the outermost second semiconductor die in the stack, and the at least one fourth semiconductor die and the first semiconductor die and having the plurality of second semiconductor dies The at least one second stack is electrically connected and in electrical communication. According to some embodiments, in the semiconductor structure, wherein the second semiconductor substrate further comprises sidewalls and rounded edges, the rounded edges connect the second back surface and the sidewalls; or sidewalls A wall and a beveled edge connecting the second back surface and the side wall. According to some embodiments, the semiconductor structure further includes a plurality of conductive terminals on the first active surface of the first semiconductor die and electrically connected to the first semiconductor die. According to some embodiments, in the semiconductor structure, wherein the stacked structure includes two or more than two stacked structures.
根据一些实施例,一种半导体结构包括半导体器件、多个导电端子及连接结构。所述半导体器件包括基础层级及管芯堆叠。所述基础层级包括第一管芯。所述管芯堆叠接合到所述基础层级,且包括布置到至少一个内部层级及最外部层级中的多个第二管芯。所述管芯堆叠与所述基础层级通过第一混合接合界面接合。所述至少一个内部层级与所述最外部层级通过第二混合接合界面接合。所述基础层级的侧壁与所述管芯堆叠的侧壁之间存在偏移,其中所述第一管芯与所述多个第二管芯彼此电通信。所述多个导电端子位于所述半导体器件之上且电连接到所述半导体器件。所述连接结构位于所述半导体器件与所述多个导电端子之间,其中所述基础层级位于所述连接结构与所述管芯堆叠之间,且所述至少一个内部层级位于所述基础层级与所述最外部层级之间。According to some embodiments, a semiconductor structure includes a semiconductor device, a plurality of conductive terminals, and a connection structure. The semiconductor device includes a base level and a die stack. The base level includes a first die. The die stack is bonded to the base level and includes a plurality of second dies arranged into at least one inner level and an outermost level. The die stack is bonded to the base level through a first hybrid bonding interface. The at least one inner level is joined with the outermost level by a second hybrid joining interface. There is an offset between sidewalls of the base level and sidewalls of the die stack, wherein the first die and the plurality of second dies are in electrical communication with each other. The plurality of conductive terminals are over and electrically connected to the semiconductor device. the connection structure is located between the semiconductor device and the plurality of conductive terminals, wherein the base level is located between the connection structure and the die stack, and the at least one inner level is located at the base level and the outermost level.
根据一些实施例,所述的半导体结构进一步包括:绝缘包封体,覆盖所述半导体器件,其中所述基础层级位于所述绝缘包封体与所述连接结构之间以及所述管芯堆叠与所述连接结构之间,其中所述绝缘包封体的侧壁与所述连接结构的侧壁实质上共面,且所述连接结构包括保护层或重布线路结构。根据一些实施例,在所述的半导体结构中,其中所述绝缘包封体的所述侧壁进一步与所述基础层级的所述侧壁实质上共面。根据一些实施例,所述的半导体结构进一步包括:第一绝缘包封体,位于所述半导体器件之上且覆盖所述基础层级;以及第二绝缘包封体,位于所述第一绝缘包封体之上且覆盖所述管芯堆叠,其中所述第一绝缘包封体的侧壁及所述第二绝缘包封体的侧壁与所述连接结构的侧壁实质上共面,且所述连接结构包括保护层或重布线路结构。根据一些实施例,在所述的半导体结构中,其中所述第一绝缘包封体的所述侧壁及所述第二绝缘包封体的所述侧壁进一步与所述基础层级的所述侧壁实质上共面。根据一些实施例,所述的半导体结构进一步包括:隔离元件,至少部分地覆盖所述半导体器件的侧壁,其中所述隔离元件从所述基础层级朝所述管芯堆叠延伸,其中所述隔离元件的材料包括导电层或介电层。根据一些实施例,在所述的半导体结构中,其中所述管芯堆叠包括两个或多于两个管芯堆叠。根据一些实施例,在所述的半导体结构中,其中所述半导体器件包括两个或多于两个半导体器件。According to some embodiments, the semiconductor structure further comprises: an insulating encapsulant overlying the semiconductor device, wherein the base level is located between the insulating encapsulation and the connection structure and the die stack and the Between the connection structures, the sidewalls of the insulating encapsulation body and the sidewalls of the connection structures are substantially coplanar, and the connection structures include a protective layer or a redistributed circuit structure. According to some embodiments, in the semiconductor structure, wherein the sidewalls of the insulating encapsulant are further substantially coplanar with the sidewalls of the base level. According to some embodiments, the semiconductor structure further comprises: a first insulating encapsulation overlying the semiconductor device and covering the base level; and a second insulating encapsulation overlying the first insulating encapsulation over and overlying the die stack, wherein the sidewalls of the first insulating encapsulation and the sidewalls of the second insulating encapsulation are substantially coplanar with the sidewalls of the connection structure, and all The connection structure includes a protective layer or a redistributed circuit structure. According to some embodiments, in the semiconductor structure, wherein the sidewalls of the first insulating encapsulation and the sidewalls of the second insulating encapsulation are further connected to the sidewalls of the base level The side walls are substantially coplanar. According to some embodiments, the semiconductor structure further includes isolation elements at least partially covering sidewalls of the semiconductor device, wherein the isolation elements extend from the base level toward the die stack, wherein the isolation elements The material of the element includes a conductive layer or a dielectric layer. According to some embodiments, in the described semiconductor structure, wherein the die stack includes two or more die stacks. According to some embodiments, in the described semiconductor structure, wherein the semiconductor device comprises two or more semiconductor devices.
根据一些实施例,一种制造半导体结构的方法包括以下步骤:形成至少一个堆叠结构,包括:提供包括第一半导体管芯的基础层级,以及通过混合接合在所述基础层级上形成包括多个第二半导体管芯的管芯堆叠,其中沿侧向方向,所述基础层级的第一尺寸大于所述管芯堆叠的第二尺寸,且所述第一半导体管芯电连接到所述多个第二半导体管芯;在所述至少一个堆叠结构之上形成连接结构,所述基础层级位于所述连接结构与所述管芯堆叠之间;以及在所述至少一个堆叠结构之上设置多个导电端子,且将所述多个导电端子电连接到所述第一半导体管芯,所述连接结构位于所述多个导电端子与所述基础层级之间。According to some embodiments, a method of fabricating a semiconductor structure includes the steps of forming at least one stacked structure, including providing a base level including a first semiconductor die, and forming a base level including a plurality of first semiconductor die on the base level by hybrid bonding A die stack of two semiconductor dies, wherein a first dimension of the base level is greater than a second dimension of the die stack in a lateral direction, and the first semiconductor die is electrically connected to the plurality of second two semiconductor dies; forming a connection structure over the at least one stack structure, the base level being located between the connection structure and the die stack; and disposing a plurality of conductive structures over the at least one stack structure terminals and electrically connect the plurality of conductive terminals to the first semiconductor die, the connection structure being located between the plurality of conductive terminals and the base level.
根据一些实施例,在所述的方法中,其中所述管芯堆叠包括最底部层级、最顶部层级及位于所述最底部层级与所述最顶部层级之间的至少一个内部层级,且所述最底部层级、所述至少一个内部层级及所述最顶部层级各自包括所述多个第二半导体管芯中的一者或多于一者,其中通过混合接合在所述基础层级上形成所述管芯堆叠包括:将所述最底部层级的前表面混合接合到所述基础层级的背表面,以将所述第一半导体管芯与所述最底部层级的所述多个第二半导体管芯中的所述一者或多于一者电连接;将所述至少一个内部层级的前表面混合接合到所述最底部层级的背表面,以将所述至少一个内部层级的所述多个第二半导体管芯中的所述一者或多于一者与所述最底部层级的所述多个第二半导体管芯中的所述一者或多于一者电连接;以及将所述最顶部层级的前表面混合接合到所述至少一个内部层级的背表面,以将所述至少一个内部层级的所述多个第二半导体管芯中的所述一者或多于一者与所述最顶部层级的所述多个第二半导体管芯中的所述一者或多于一者电连接。根据一些实施例,在所述的方法中,其中通过混合接合在所述基础层级上形成所述管芯堆叠包括通过混合接合在所述基础层级上形成多个管芯堆叠。According to some embodiments, in the method, wherein the die stack includes a bottommost level, a topmost level, and at least one inner level located between the bottommost level and the topmost level, and the The bottommost level, the at least one inner level, and the topmost level each include one or more of the plurality of second semiconductor dies, wherein the base level is formed by hybrid bonding The die stacking includes hybrid bonding the front surface of the bottommost level to the back surface of the base level to bond the first semiconductor die with the plurality of second semiconductor dies of the bottommost level electrically connecting the one or more of the at least one inner level; hybrid bonding the front surface of the at least one inner level to the back surface of the bottommost level to connect the plurality of first levels of the at least one inner level the one or more of the two semiconductor dies is electrically connected to the one or more of the plurality of second semiconductor dies of the bottommost level; and connecting the bottommost level a front surface of the top level is hybrid bonded to a back surface of the at least one inner level to bond the one or more of the plurality of second semiconductor dies of the at least one inner level with the The one or more of the plurality of second semiconductor dies of the topmost level are electrically connected. According to some embodiments, in the method, wherein forming the die stack at the base level by hybrid bonding includes forming a plurality of die stacks at the base level by hybrid bonding.
以上概述了若干实施例的特征,以使所属领域中的技术人员可更好地理解本公开的方面。所属领域中的技术人员应理解,他们可容易地使用本公开作为设计或修改其他工艺及结构的基础来施行与本文中所介绍的实施例相同的目的和/或实现与本文中所介绍的实施例相同的优点。所属领域中的技术人员还应认识到,此种等效构造并不背离本公开的精神及范围,而且他们可在不背离本公开的精神及范围的条件下对其作出各种改变、代替及变更。The features of several embodiments have been summarized above so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or for carrying out the embodiments described herein example of the same advantages. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they can make various changes, substitutions and alterations without departing from the spirit and scope of the present disclosure. change.
Claims (1)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962864446P | 2019-06-20 | 2019-06-20 | |
US62/864,446 | 2019-06-20 | ||
US16/737,869 US11164848B2 (en) | 2019-06-20 | 2020-01-08 | Semiconductor structure and method manufacturing the same |
US16/737,869 | 2020-01-08 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN112117263A true CN112117263A (en) | 2020-12-22 |
Family
ID=73799275
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202010461623.4A Pending CN112117263A (en) | 2019-06-20 | 2020-05-27 | Semiconductor structure and manufacturing method thereof |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN112117263A (en) |
Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080142990A1 (en) * | 2006-12-19 | 2008-06-19 | Chen-Hua Yu | Three-dimensional integrated circuits with protection layers |
KR20080071817A (en) * | 2007-01-31 | 2008-08-05 | 삼성전자주식회사 | Edge crack prevention type semiconductor device and manufacturing method thereof |
US20120193779A1 (en) * | 2011-01-28 | 2012-08-02 | Chung-Sun Lee | Semiconductor device and method of fabricating the same |
US20180006006A1 (en) * | 2016-06-30 | 2018-01-04 | Samsung Electronics Co., Ltd. | Semiconductor package and method of manufacturing the same |
CN108155153A (en) * | 2016-12-05 | 2018-06-12 | 台湾积体电路制造股份有限公司 | Manufacturing method of packaging structure for heat dissipation |
CN109314088A (en) * | 2016-05-19 | 2019-02-05 | 英帆萨斯邦德科技有限公司 | Methods of stacking dice and forming bonded structures |
-
2020
- 2020-05-27 CN CN202010461623.4A patent/CN112117263A/en active Pending
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20080142990A1 (en) * | 2006-12-19 | 2008-06-19 | Chen-Hua Yu | Three-dimensional integrated circuits with protection layers |
KR20080071817A (en) * | 2007-01-31 | 2008-08-05 | 삼성전자주식회사 | Edge crack prevention type semiconductor device and manufacturing method thereof |
US20120193779A1 (en) * | 2011-01-28 | 2012-08-02 | Chung-Sun Lee | Semiconductor device and method of fabricating the same |
CN109314088A (en) * | 2016-05-19 | 2019-02-05 | 英帆萨斯邦德科技有限公司 | Methods of stacking dice and forming bonded structures |
US20180006006A1 (en) * | 2016-06-30 | 2018-01-04 | Samsung Electronics Co., Ltd. | Semiconductor package and method of manufacturing the same |
CN108155153A (en) * | 2016-12-05 | 2018-06-12 | 台湾积体电路制造股份有限公司 | Manufacturing method of packaging structure for heat dissipation |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI831969B (en) | Semiconductor structure and method manufacturing the same | |
US11916012B2 (en) | Manufacturing method of semiconductor structure | |
CN110660783B (en) | Semiconductor device package and method | |
US12057438B2 (en) | Die stack structure and manufacturing method thereof | |
US11749651B2 (en) | Semiconductor package and manufacturing method thereof | |
US11502040B2 (en) | Package structure and semiconductor pacakge | |
TW202004926A (en) | Semiconductor structure and method of forming integrated circuit package | |
TW202046464A (en) | Integrated circuit packages and methods of forming the same | |
CN214672598U (en) | Three-dimensional semiconductor device structure and three-dimensional semiconductor device | |
US11756920B2 (en) | Semiconductor structure and manufacturing method thereof | |
TW202101724A (en) | Semiconductor structure and method manufacturing the same | |
CN111834314B (en) | Package structure and method for manufacturing the same | |
CN112242383A (en) | Chip structure | |
TW202243150A (en) | Semiconductor package and method of manufacturing semiconductor package | |
TWI790702B (en) | Semiconductor package and method of manufacturing semiconductor package | |
CN114725032A (en) | Semiconductor package and method of manufacturing the same | |
CN112117263A (en) | Semiconductor structure and manufacturing method thereof | |
CN112133691A (en) | Semiconductor structure and method of making the same | |
US12132024B2 (en) | Semiconductor package and method of manufacturing the same | |
US20230260915A1 (en) | Semiconductor structure and method of making same | |
US20250079402A1 (en) | Semiconductor device and manufacturing method thereof | |
US20240030186A1 (en) | Package and manufacturing method thereof | |
US20220352077A1 (en) | Recessed semiconductor devices, and associated systems and methods |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |