CN110970489B - Semiconductor device and method of forming semiconductor device - Google Patents
Semiconductor device and method of forming semiconductor device Download PDFInfo
- Publication number
- CN110970489B CN110970489B CN201910913267.2A CN201910913267A CN110970489B CN 110970489 B CN110970489 B CN 110970489B CN 201910913267 A CN201910913267 A CN 201910913267A CN 110970489 B CN110970489 B CN 110970489B
- Authority
- CN
- China
- Prior art keywords
- fin
- spacer
- forming
- silicon oxycarbide
- dummy gate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims abstract description 305
- 239000004065 semiconductor Substances 0.000 title claims abstract description 36
- 125000006850 spacer group Chemical group 0.000 claims abstract description 272
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 115
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 115
- 239000010703 silicon Substances 0.000 claims abstract description 115
- 239000000758 substrate Substances 0.000 claims abstract description 53
- 239000000203 mixture Substances 0.000 claims abstract description 40
- 229910052581 Si3N4 Inorganic materials 0.000 claims abstract description 13
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims abstract description 13
- 230000008569 process Effects 0.000 claims description 219
- 239000000463 material Substances 0.000 claims description 181
- 238000004140 cleaning Methods 0.000 claims description 46
- 238000005530 etching Methods 0.000 claims description 46
- 239000012535 impurity Substances 0.000 claims description 37
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 claims description 34
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims description 33
- 239000003989 dielectric material Substances 0.000 claims description 28
- 238000000059 patterning Methods 0.000 claims description 24
- 238000000151 deposition Methods 0.000 claims description 18
- 238000002513 implantation Methods 0.000 claims description 14
- 239000011874 heated mixture Substances 0.000 claims description 12
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 8
- 239000007943 implant Substances 0.000 claims description 8
- 238000005137 deposition process Methods 0.000 claims description 6
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 5
- 238000010438 heat treatment Methods 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 118
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 22
- 229910052799 carbon Inorganic materials 0.000 description 22
- 239000011810 insulating material Substances 0.000 description 21
- 229920002120 photoresistant polymer Polymers 0.000 description 21
- 230000003071 parasitic effect Effects 0.000 description 17
- 230000015572 biosynthetic process Effects 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 11
- 238000001312 dry etching Methods 0.000 description 9
- 238000000206 photolithography Methods 0.000 description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 230000007547 defect Effects 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 229910052760 oxygen Inorganic materials 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 238000002955 isolation Methods 0.000 description 7
- 230000000873 masking effect Effects 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 230000008901 benefit Effects 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- 239000005360 phosphosilicate glass Substances 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 238000011065 in-situ storage Methods 0.000 description 5
- 229910052751 metal Inorganic materials 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 238000004544 sputter deposition Methods 0.000 description 5
- 238000000137 annealing Methods 0.000 description 4
- 150000001875 compounds Chemical class 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 239000002356 single layer Substances 0.000 description 4
- 238000004528 spin coating Methods 0.000 description 4
- 238000007704 wet chemistry method Methods 0.000 description 4
- 239000005388 borosilicate glass Substances 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 238000010943 off-gassing Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000002574 poison Substances 0.000 description 3
- 231100000614 poison Toxicity 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 229920005591 polysilicon Polymers 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- 229910005540 GaP Inorganic materials 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910000673 Indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000001035 drying Methods 0.000 description 2
- 238000000407 epitaxy Methods 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 150000004760 silicates Chemical class 0.000 description 2
- 229910017115 AlSb Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- 206010010144 Completed suicide Diseases 0.000 description 1
- 229910005542 GaSb Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910005898 GeSn Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- -1 InAlAs Inorganic materials 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000001413 cellular effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000109 continuous material Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- 229910052745 lead Inorganic materials 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 229910003465 moissanite Inorganic materials 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 239000011295 pitch Substances 0.000 description 1
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000011417 postcuring Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/01—Manufacture or treatment
- H10D30/021—Manufacture or treatment of FETs having insulated gates [IGFET]
- H10D30/024—Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET]
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/62—Fin field-effect transistors [FinFET]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02052—Wet cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/26506—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
- H01L21/26513—Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/30604—Chemical etching
- H01L21/30608—Anisotropic liquid etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
- H01L21/31155—Doping the insulating layers by ion implantation
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D12/00—Bipolar devices controlled by the field effect, e.g. insulated-gate bipolar transistors [IGBT]
- H10D12/01—Manufacture or treatment
- H10D12/031—Manufacture or treatment of IGBTs
- H10D12/032—Manufacture or treatment of IGBTs of vertical IGBTs
- H10D12/038—Manufacture or treatment of IGBTs of vertical IGBTs having a recessed gate, e.g. trench-gate IGBTs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/62—Fin field-effect transistors [FinFET]
- H10D30/6215—Fin field-effect transistors [FinFET] having multiple independently-addressable gate electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/124—Shapes, relative sizes or dispositions of the regions of semiconductor bodies or of junctions between the regions
- H10D62/125—Shapes of junctions between the regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/10—Shapes, relative sizes or dispositions of the regions of the semiconductor bodies; Shapes of the semiconductor bodies
- H10D62/13—Semiconductor regions connected to electrodes carrying current to be rectified, amplified or switched, e.g. source or drain regions
- H10D62/149—Source or drain regions of field-effect devices
- H10D62/151—Source or drain regions of field-effect devices of IGFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/017—Manufacture or treatment using dummy gates in processes wherein at least parts of the final gates are self-aligned to the dummy gates, i.e. replacement gate processes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/01—Manufacture or treatment
- H10D64/021—Manufacture or treatment using multiple gate spacer layers, e.g. bilayered sidewall spacers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/013—Manufacturing their source or drain regions, e.g. silicided source or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0147—Manufacturing their gate sidewall spacers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0158—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0167—Manufacturing their channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/017—Manufacturing their source or drain regions, e.g. silicided source or drain regions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0172—Manufacturing their gate conductors
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0184—Manufacturing their gate sidewall spacers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0193—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices the components including FinFETs
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/02—Manufacture or treatment characterised by using material-based technologies
- H10D84/03—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology
- H10D84/038—Manufacture or treatment characterised by using material-based technologies using Group IV technology, e.g. silicon technology or silicon-carbide [SiC] technology using silicon technology, e.g. SiGe
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/80—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs
- H10D84/82—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components
- H10D84/83—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers characterised by the integration of at least one component covered by groups H10D12/00 or H10D30/00, e.g. integration of IGFETs of only field-effect components of only insulated-gate FETs [IGFET]
- H10D84/85—Complementary IGFETs, e.g. CMOS
- H10D84/853—Complementary IGFETs, e.g. CMOS comprising FinFETs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/26—Bombardment with radiation
- H01L21/263—Bombardment with radiation with high-energy radiation
- H01L21/265—Bombardment with radiation with high-energy radiation producing ion implantation
- H01L21/266—Bombardment with radiation with high-energy radiation producing ion implantation using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D30/00—Field-effect transistors [FET]
- H10D30/60—Insulated-gate field-effect transistors [IGFET]
- H10D30/791—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions
- H10D30/797—Arrangements for exerting mechanical stress on the crystal lattice of the channel regions being in source or drain regions, e.g. SiGe source or drain
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/60—Impurity distributions or concentrations
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D62/00—Semiconductor bodies, or regions thereof, of devices having potential barriers
- H10D62/80—Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials
- H10D62/82—Heterojunctions
- H10D62/822—Heterojunctions comprising only Group IV materials heterojunctions, e.g. Si/Ge heterojunctions
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D84/00—Integrated devices formed in or on semiconductor substrates that comprise only semiconducting layers, e.g. on Si wafers or on GaAs-on-Si wafers
- H10D84/01—Manufacture or treatment
- H10D84/0123—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs
- H10D84/0126—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs
- H10D84/0165—Integrating together multiple components covered by H10D12/00 or H10D30/00, e.g. integrating multiple IGBTs the components including insulated gates, e.g. IGFETs the components including complementary IGFETs, e.g. CMOS devices
- H10D84/0188—Manufacturing their isolation regions
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- High Energy & Nuclear Physics (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Toxicology (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
技术领域technical field
本公开总体涉及半导体器件和形成半导体器件的方法。The present disclosure generally relates to semiconductor devices and methods of forming semiconductor devices.
背景技术Background technique
半导体器件用于各种电子应用,例如,个人计算机、蜂窝电话、数码相机、以及其他电子设备。半导体器件通常通过以下步骤来制造:在半导体衬底上方顺序沉积绝缘或电介质材料层、导电材料层、以及半导体材料层,并使用光刻来图案化各种材料层以在其上形成电路组件和元件。Semiconductor devices are used in various electronic applications, such as personal computers, cellular phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing layers of insulating or dielectric material, conductive material, and semiconducting material over a semiconductor substrate, and patterning the various material layers using photolithography to form circuit components and element.
半导体工业通过不断减小最小特征大小来持续改善各种电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许更多组件被集成在给定区域中。然而,随着最小特征大小的减小,出现了应该解决的其他问题。The semiconductor industry continues to improve the integration density of various electronic components (eg, transistors, diodes, resistors, capacitors, etc.) by continually reducing the minimum feature size, which allows more components to be integrated in a given area. However, as the minimum feature size decreases, other issues arise that should be addressed.
发明内容Contents of the invention
根据本公开的一个实施例,提供了一种形成半导体器件的方法,包括:在衬底上方形成第一鳍和第二鳍;在所述第一鳍上方形成第一虚设栅极结构并且在所述第二鳍上方形成第二虚设栅极结构;在所述第一鳍上、所述第二鳍上、所述第一虚设栅极结构上以及所述第二虚设栅极结构上沉积第一层碳氧化硅材料;通过所述第一层碳氧化硅材料将杂质注入到所述第一鳍和所述第二鳍中;在注入杂质之后,在所述第一层碳氧化硅材料上方沉积第二层碳氧化硅材料;在沉积所述第二层碳氧化硅材料之后,对所述第一鳍和所述第二鳍执行湿法清洁工艺;在所述第二鳍和所述第二虚设栅极结构上方形成第一掩模;凹陷与所述第一虚设栅极结构相邻的所述第一鳍,以在所述第一鳍中形成第一凹槽;在凹陷所述第一鳍之后,对所述第一鳍和所述第二鳍执行所述湿法清洁工艺;在所述第一鳍和所述第一虚设栅极结构上方形成第二掩模;凹陷与所述第二虚设栅极结构相邻的所述第二鳍,以在所述第二鳍中形成第二凹槽;以及执行外延工艺以同时形成所述第一凹槽中的第一外延源极/漏极区域和所述第二凹槽中的第二外延源极/漏极区域。According to an embodiment of the present disclosure, there is provided a method of forming a semiconductor device, including: forming a first fin and a second fin over a substrate; forming a first dummy gate structure over the first fin and forming a first dummy gate structure over the substrate. forming a second dummy gate structure over the second fin; depositing a first dummy gate structure on the first fin, on the second fin, on the first dummy gate structure, and on the second dummy gate structure a layer of silicon oxycarbide material; implanting impurities into the first fin and the second fin through the first layer of silicon oxycarbide material; after implanting impurities, depositing over the first layer of silicon oxycarbide material A second layer of silicon oxycarbide material; after depositing the second layer of silicon oxycarbide material, perform a wet cleaning process on the first fin and the second fin; forming a first mask over the dummy gate structure; recessing the first fin adjacent to the first dummy gate structure to form a first groove in the first fin; After finning, performing the wet cleaning process on the first fin and the second fin; forming a second mask over the first fin and the first dummy gate structure; two dummy gate structures adjacent to the second fin to form a second groove in the second fin; and performing an epitaxial process to simultaneously form a first epitaxial source/drain in the first groove pole region and a second epitaxial source/drain region in the second recess.
根据本公开的另一实施例,提供了一种形成半导体器件的方法,包括:图案化衬底以形成多个第一鳍和多个第二鳍;在所述多个第一鳍上形成多个第一虚设栅极结构;在所述多个第二鳍上形成多个第二虚设栅极结构;在所述多个第一虚设栅极结构上形成多个第一间隔件结构;在所述多个第二虚设栅极结构上形成多个第二间隔件结构,其中,所述多个第一间隔件结构和所述多个第二间隔件结构包括低k电介质材料;在所述多个第一鳍中形成第一凹槽,包括:执行第一湿法除渣工艺;并且执行第一各向异性蚀刻工艺以在所述多个第一鳍中形成第一凹槽;在所述多个第一鳍中形成所述第一凹槽之后,在所述多个第二鳍中形成第二凹槽,包括:执行第二湿法除渣工艺;并且执行第二各向异性蚀刻工艺以在所述多个第二鳍中形成第二凹槽;以及在所述第一凹槽中外延生长第一源极/漏极结构并且在所述第二凹槽中外延生长第二源极/漏极结构。According to another embodiment of the present disclosure, there is provided a method of forming a semiconductor device, including: patterning a substrate to form a plurality of first fins and a plurality of second fins; forming a plurality of fins on the plurality of first fins; a plurality of first dummy gate structures; forming a plurality of second dummy gate structures on the plurality of second fins; forming a plurality of first spacer structures on the plurality of first dummy gate structures; A plurality of second spacer structures are formed on the plurality of second dummy gate structures, wherein the plurality of first spacer structures and the plurality of second spacer structures include a low-k dielectric material; forming a first groove in a plurality of first fins, comprising: performing a first wet desmear process; and performing a first anisotropic etching process to form a first groove in the plurality of first fins; After forming the first grooves in the plurality of first fins, forming second grooves in the plurality of second fins includes: performing a second wet desmear process; and performing a second anisotropic etching process to form second recesses in the plurality of second fins; and epitaxially growing a first source/drain structure in the first recesses and epitaxially growing a second source in the second recesses /drain structure.
根据本公开的又一实施例,提供了一种形成半导体器件的方法,包括:形成从衬底延伸的第一鳍;在所述第一鳍上方并且沿着所述第一鳍的侧壁形成第一栅极堆叠;沿着所述第一栅极堆叠的侧壁形成第一间隔件,所述第一间隔件包括第一碳氧化硅组合物;沿着所述第一间隔件的侧壁形成第二间隔件,所述第二间隔件包括第二碳氧化硅组合物;沿着所述第二间隔件的侧壁形成第三间隔件,所述第三间隔件包括氮化硅;以及在所述第一鳍中并且与所述第三间隔件相邻形成第一外延源极/漏极区域。According to yet another embodiment of the present disclosure, there is provided a method of forming a semiconductor device, including: forming a first fin extending from a substrate; forming a fin over the first fin and along a sidewall of the first fin. a first gate stack; forming a first spacer along a sidewall of the first gate stack, the first spacer comprising a first silicon oxycarbide composition; along a sidewall of the first spacer forming a second spacer comprising a second silicon oxycarbide composition; forming a third spacer along sidewalls of the second spacer, the third spacer comprising silicon nitride; and A first epitaxial source/drain region is formed in the first fin and adjacent to the third spacer.
附图说明Description of drawings
在结合附图阅读下面的具体实施方式时,可以从下面的具体实施方式中最佳地理解本公开的各个方面。应当注意,根据行业的标准做法,各种特征不是按比例绘制的。事实上,为了讨论的清楚起见,各种特征的尺寸可能被任意增大或减小。Various aspects of the disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
图1示出根据一些实施例的三维视图中的FinFET的实例。Figure 1 shows an example of a FinFET in a three-dimensional view according to some embodiments.
图2、3、4、5、6、7、8A、8B、9A和9B是根据一些实施例的FinFET的制造的中间阶段的截面图。2, 3, 4, 5, 6, 7, 8A, 8B, 9A, and 9B are cross-sectional views of intermediate stages in the fabrication of FinFETs according to some embodiments.
图10是示出根据一些实施例的FinFET器件的寄生电容相对于FinFET器件的间隔件的电介质常数的变化的模拟数据的曲线图。10 is a graph of simulated data showing the variation in parasitic capacitance of a FinFET device relative to the dielectric constant of a spacer of the FinFET device, according to some embodiments.
图11A和图11B是根据一些实施例的FinFET的制造的中间阶段的截面图。11A and 11B are cross-sectional views of intermediate stages in the fabrication of a FinFET according to some embodiments.
图12A和图12B是根据一些实施例的FinFET的制造的中间阶段中的第一湿法清洁工艺的截面图。12A and 12B are cross-sectional views of a first wet cleaning process in an intermediate stage of fabrication of a FinFET according to some embodiments.
图13A、13B、14A和14B是根据一些实施例的FinFET的制造的中间阶段的截面图。13A, 13B, 14A, and 14B are cross-sectional views of intermediate stages in the fabrication of FinFETs according to some embodiments.
图15A和图15B是根据一些实施例的FinFET的制造的中间阶段中的第二湿法清洁工艺的截面图。15A and 15B are cross-sectional views of a second wet cleaning process in an intermediate stage of fabrication of a FinFET according to some embodiments.
图16A、16B、17A、17B、18A和18B是根据一些实施例的FinFET的制造的中间阶段的截面图。16A, 16B, 17A, 17B, 18A, and 18B are cross-sectional views of intermediate stages in the fabrication of FinFETs according to some embodiments.
图19A-图19B是根据一些实施例的在FinFET的制造的中间阶段中形成外延源极/漏极区域的截面图。19A-19B are cross-sectional views of forming epitaxial source/drain regions in an intermediate stage of fabrication of a FinFET, according to some embodiments.
图20A、20B、21A、21B、22A、22B、23A、23B、24、25A、25B、26A和26B是根据一些实施例的FinFET的制造的中间阶段的截面图。20A, 20B, 21A, 21B, 22A, 22B, 23A, 23B, 24, 25A, 25B, 26A, and 26B are cross-sectional views of intermediate stages in the fabrication of a FinFET according to some embodiments.
图27是示出根据一些实施例的FinFET器件的间隔件层的碳浓度变化的实验数据的曲线图。27 is a graph of experimental data showing variation in carbon concentration of a spacer layer of a FinFET device according to some embodiments.
具体实施方式Detailed ways
下面的公开内容提供了用于实现本发明的不同特征的许多不同的实施例或示例。下文描述了组件和布置的具体示例以简化本公开。当然,这些仅仅是示例而不意图是限制性的。例如,在下面的说明中,在第二特征上方或之上形成第一特征可以包括以直接接触的方式形成第一特征和第二特征的实施例,并且还可以包括可以在第一特征和第二特征之间形成附加特征,使得第一特征和第二特征可以不直接接触的实施例。此外,本公开在各个示例中可能重复参考标号和/或字母。这种重复是为了简单性和清楚性的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are examples only and are not intended to be limiting. For example, in the following description, forming a first feature on or over a second feature may include embodiments where the first and second features are formed in direct contact, and may also include embodiments where the first and second features may be formed on or over the first and second features. An embodiment in which an additional feature is formed between two features such that the first feature and the second feature may not be in direct contact. Additionally, the present disclosure may repeat reference numerals and/or letters in various examples. This repetition is for the sake of simplicity and clarity and does not in itself indicate a relationship between the various embodiments and/or configurations discussed.
此外,本文中可能使用了空间相关术语(例如,“下方”、“之下”、“低于”、“以上”、“上部”等),以易于描述图中所示的一个要素或特征相对于另一个(一些)要素或特征的关系。这些空间相关术语意在涵盖器件在使用或工作中除了图中所示朝向之外的不同朝向。装置可能以其他方式定向(旋转了90度或处于其他朝向),并且本文中所用的空间相关描述符同样可能被相应地解释。In addition, spatially relative terms (e.g., "below", "beneath", "below", "above", "upper", etc.) may be used herein to facilitate description of one element or feature shown in the figures relative to the other. relationship to another element(s) or feature. These spatially relative terms are intended to encompass different orientations of the device in use or operation than those depicted in the figures. A device may be otherwise oriented (rotated 90 degrees or at other orientations) and spatially relative descriptors used herein interpreted accordingly.
各个实施例提供了用于在FinFET器件中形成栅极间隔件和形成外延源极/漏极区域的工艺。在一些实施例中,诸如碳氧化硅之类的低k材料可以用于一些或所有栅极间隔件。将碳氧化硅用于栅极间隔件可以减少FinFET器件内的寄生电容。此外,使用相同的外延形成工艺,选择性地掩蔽器件区域并分别为每个器件区域中的外延源极/漏极区域蚀刻凹槽可以同时在每个器件区域中形成不同的外延源极/漏极区域。因此,可以同时形成用于不同类型器件的外延源极/漏极区域,其具有针对每种类型器件的特性。通过在每个多图案化步骤之前使用加热的硫酸和过氧化氢的湿法化学工艺来清洁和制备表面,可以减少对碳氧化硅层的损害。因此,碳氧化硅的益处和多图案化的益处二者都可以在工艺流程中实现,同时较少了工艺缺陷的可能性。Various embodiments provide processes for forming gate spacers and forming epitaxial source/drain regions in FinFET devices. In some embodiments, a low-k material such as silicon oxycarbide may be used for some or all of the gate spacers. Using silicon oxycarbide for gate spacers can reduce parasitic capacitance within FinFET devices. In addition, using the same epitaxial formation process, selectively masking the device regions and etching grooves for the epitaxial source/drain regions in each device region separately can simultaneously form different epitaxial source/drains in each device region polar region. Thus, epitaxial source/drain regions for different types of devices can be formed simultaneously, with characteristics specific to each type of device. Damage to the silicon oxycarbide layer can be reduced by using a wet chemical process of heated sulfuric acid and hydrogen peroxide to clean and prepare the surface before each multi-patterning step. Thus, both the benefits of silicon oxycarbide and the benefits of multiple patterning can be realized in the process flow with less potential for process defects.
图1示出了根据一些实施例的三维视图中的FinFET的示例。FinFET包括位于衬底50(例如,半导体衬底)上的鳍52。隔离区域56设置在衬底50中,并且鳍52从相邻的隔离区域56之间突出并突出在其上方。尽管隔离区域56被描述/示出为与衬底50分离,但如本文所使用的,术语“衬底”可以用于仅指代半导体衬底或包括隔离区域的半导体衬底。此外,尽管鳍52被示出为单个连续材料作为衬底50,但鳍52和/或衬底50可以包括单个材料或多个材料。栅极电介质层92沿着鳍52的侧壁并且在鳍52的顶表面上方,并且栅极电极94在栅极电介质层92上方。源极/漏极区域82被设置在鳍52的相对于栅极电介质层92和栅极电极94的相对侧中。Figure 1 illustrates an example of a FinFET in a three-dimensional view, according to some embodiments. The FinFET includes a
图1进一步示出了在后面的附图中使用的参考横截面。横截面A-A沿着栅极电极94的纵轴并且在例如垂直于FinFET的源极/漏极区域82之间的电流方向的方向上。横截面B-B垂直于横截面A-A并沿着鳍52的纵轴,并且在例如FinFET的源极/漏极区域82之间的电流的方向上。横截面C-C平行于横截面A-A并延伸通过FinFET的源极/漏极区域。为清楚起见,后续附图参考这些参考横截面。Figure 1 further shows the reference cross section used in the following figures. The cross-section A-A is along the longitudinal axis of the
在使用后栅极工艺形成的FinFET的上下文中讨论了本文所讨论的一些实施例。在其他实施例中,可以使用先栅极工艺。此外,一些实施例考虑了在平面器件(例如,平面FET)中使用的方面。Some of the embodiments discussed herein are discussed in the context of FinFETs formed using a gate-last process. In other embodiments, a gate-first process may be used. Additionally, some embodiments contemplate aspects for use in planar devices (eg, planar FETs).
图2至图9B以及图11A至图26B是根据一些实施例的FinFET的制造的中间阶段的截面图。图2至图7示出了图1中示出的参考横截面A-A,除了多个鳍/FinFET之外。在图8A至图9B、图11A-图11B、以及图20A至图26B中,沿图1中所示的参考横截面A-A示出了以“A”标记结尾的附图,并且沿图1中所示的类似参考横截面B-B示出了以“B”标记结尾的附图,除了多个鳍/FinFET之外。在图12A至图19B中,沿图1中所示的参考横截面C-C示出了以“A”标记结尾的附图,并且沿图1中所示的类似参考横截面B-B示出了以“B”标记结尾的附图,除了多个鳍/FinFET之外。沿图1中所示的参考横截面B-B示出了图24,除了多个鳍/FinFET之外。2-9B and 11A-26B are cross-sectional views of intermediate stages in the fabrication of FinFETs according to some embodiments. Figures 2 to 7 illustrate the reference cross-section A-A shown in Figure 1, except for multiple fins/FinFETs. In FIGS. 8A to 9B , 11A to 11B , and 20A to 26B , the drawings ending with "A" marks are shown along the reference cross-section A-A shown in FIG. A similar reference cross-section B-B is shown showing figures ending with a "B" designation, except for multiple fins/FinFETs. In FIGS. 12A to 19B , the figures ending with the designation "A" are shown along the reference cross-section C-C shown in FIG. 1, and are shown along the similar reference cross-section B-B shown in FIG. Figures at the end of the B" mark, except for multiple fins/FinFETs. FIG. 24 is shown along the reference cross-section B-B shown in FIG. 1 , except for multiple fins/FinFETs.
在图2中,提供了衬底50。衬底50可以是半导体衬底,例如,体半导体、绝缘体上半导体(SOI)衬底等,其可以是掺杂的(例如,用p型或n型掺杂剂)或未掺杂的。衬底50可以是晶圆,例如,硅晶圆。通常,SOI衬底是在绝缘体层上形成的半导体材料层。绝缘体层可以是例如掩埋氧化物(BOX)层、氧化硅层等。绝缘体层设置在衬底上,衬底通常是硅或玻璃衬底。也可以使用其他衬底,例如,多层或梯度衬底。在一些实施例中,衬底50的半导体材料可包括:硅;锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、和/或GaInAsP;或其组合。In Fig. 2, a
衬底50具有区域50N和区域50P。区域50N可以用于形成n型器件,例如,NMOS晶体管,如n型FinFET。区域50P可以用于形成p型器件,例如,PMOS晶体管,如p型FinFET。区域50N可以与区域50P物理地分离(如分隔器51所示),并且可以在区域50N和区域50P之间设置任何数量的器件特征(例如,其他有源器件、掺杂区域、隔离结构等)。在一些实施例中,区域50N和区域50P都用于形成同一类型的器件,例如,两个区域都用于n型器件或p型器件。
在一些实施例中,可以在区域50N中形成多于一种的n型器件,或者可以在区域50P中形成多于一种的p型器件。例如,在一些实施例中,区域50P可以包括在其中形成第一p型器件(例如,第一设计的p型FinFET)的子区域50P-1,以及在其中形成第二p型器件((如,第二设计的p型FinFET)的子区域50P-2。(参见例如下面参考图12A-图19B所描述的实施例。)在一些实施例中,可以使用多图案化工艺(例如,“2P2E”工艺或其他类型的多图案化工艺)来形成不同子区域中的不同器件。区域50N可以类似地包括在其中形成不同n型器件的子区域。在一些实施例中,区域50N或区域50P可以包含仅一个区域或可以包含两个或更多个子区域。子区域可以与其他子区域物理地分离,并且可以在子区域之间布置任何数量的器件特征。In some embodiments, more than one n-type device may be formed in
在图3中,在衬底50中形成鳍52。鳍52是半导体条带。在一些实施例中,可以通过在衬底50中蚀刻沟槽来在衬底50中形成鳍52。蚀刻可以是任何可接受的蚀刻工艺,例如,反应离子蚀刻(RIE)、中性束蚀刻(NBE)等、或其组合。蚀刻可以是各向异性的。In FIG. 3 ,
可以通过任何合适的方法对鳍进行图案化。例如,可以使用一个或多个光刻工艺来对鳍进行图案化,包括双图案化或多图案化工艺。通常,双图案化或多图案化工艺组合光刻和自对准工艺,允许创建具有例如比使用单个直接光刻工艺可获得的间距更小的间距的图案。例如,在一个实施例中,在衬底上方形成牺牲层并使用光刻工艺进行图案化。使用自对准工艺在经图案化的牺牲层旁边形成间隔件。然后去除牺牲层,然后可以使用剩余的间隔件来对鳍进行图案化。Fins can be patterned by any suitable method. For example, one or more photolithographic processes may be used to pattern the fins, including double patterning or multiple patterning processes. Typically, double patterning or multi-patterning processes combine photolithography and self-alignment processes, allowing the creation of patterns with eg smaller pitches than achievable using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over the substrate and patterned using a photolithographic process. Spacers are formed next to the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers can then be used to pattern the fins.
在图4中,在衬底50上方且相邻的鳍52之间形成绝缘材料54。绝缘材料54可以是氧化物,例如,氧化硅、氮化物等、或它们的组合,并且可以通过高密度等离子体化学气相沉积(HDP-CVD)、可流动CVD(FCVD)(例如,远程等离子体系统中的基于CVD的材料沉积和后固化以使其转换成另一材料,例如,氧化物)等、或其组合来形成。可以使用通过任何可接受的工艺形成的其他绝缘材料。在所示实施例中,绝缘材料54是通过FCVD工艺形成的氧化硅。一旦形成绝缘材料,则可以执行退火工艺。在实施例中,绝缘材料54被形成为使得多余的绝缘材料54覆盖鳍52。尽管绝缘材料54被示出为单层,但一些实施例可以使用多个层。例如,在一些实施例中,可以首先沿衬底50和鳍52的表面形成衬垫(未示出)。此后,可以在衬垫上方形成例如上面讨论的填充材料。In FIG. 4 , insulating
在图5中,将去除工艺应用于绝缘材料54以去除鳍52上方的多余的绝缘材料54。在一些实施例中,可以使用平坦化工艺,例如,化学机械抛光(CMP)、回蚀刻工艺、它们的组合等。平坦化工艺暴露出鳍52,使得在平坦化工艺完成之后,鳍52和绝缘材料54的顶表面是水平的。In FIG. 5 , a removal process is applied to insulating
在图6中,绝缘材料54被凹陷以形成浅沟槽隔离(STI)区域56。绝缘材料54被凹陷以使得区域50N和区域50P中的鳍52的上部从相邻的STI区域56之间突出。此外,STI区域56的顶表面可以具有如图所示的平坦表面、凸表面、凹表面(例如,凹陷)、或其组合。通过适当的蚀刻,STI区域56的顶表面可以形成为平坦的、凸出的和/或凹入的。STI区域56可以使用可接受的蚀刻工艺进行凹陷,例如,对绝缘材料54的材料具有选择性的蚀刻工艺(例如,以比鳍52的材料更快的速率蚀刻绝缘材料54的材料)。例如,可以使用利用例如使用稀释氢氟(dHF)酸的适当蚀刻工艺可以去除的化学氧化物。In FIG. 6 , insulating
关于图2至图6描述的工艺仅是可以如何形成鳍52的一个示例。在一些实施例中,可以通过外延生长工艺形成鳍。例如,可以在衬底50的顶表面上方形成电介质层,并且可以蚀刻沟槽通过电介质层以暴露下面的衬底50。可以在沟槽中外延生长同质外延结构,并且电介质层可以被凹陷以使得同质外延结构从电介质层突出以形成鳍。此外,在一些实施例中,异质外延结构可用于鳍52。例如,图5中的鳍52可以被凹陷,并且不同于鳍52的材料可以在凹陷的鳍52上方外延生长。在这样的实施例中,鳍52包括凹陷材料,以及设置在凹陷材料上方的外延生长材料。在更进一步的实施例中,可以在衬底50的顶表面上方形成电介质层,并且可以蚀刻沟槽通过电介质层。然后可以使用与衬底50不同的材料在沟槽中外延生长异质外延结构,并且电介质层可以被凹陷以使得异质外延结构从电介质层突出以形成鳍52。在一些实施例中,外延生长同质外延结构或异质外延结构。外延生长的材料可以在生长期间被原位掺杂,这可以避免先前和随后的注入,但可以一起使用原位掺杂和注入掺杂。The process described with respect to FIGS. 2-6 is just one example of how
更进一步地,在区域50N(例如,NMOS区域)中外延生长与区域50P(例如,PMOS区域)中的材料不同的中材料可能是有利的。在各种实施例中,鳍52的上部可以由硅锗(SixGe1-x,其中x可以在0到1的范围内)、碳化硅、纯的或基本上纯的锗、III-V化合物半导体、II-VI化合物半导体等形成。例如,用于形成III-V化合物半导体的可用材料包括但不限于InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP等。Still further, it may be advantageous to epitaxially grow a different material in
此外,在图6中,可以在鳍52和/或衬底50中形成适当的阱(未示出)。在一些实施例中,可以在区域50N中形成P阱,并且可以在区域50P中形成N阱。在一些实施例中,在区域50N和区域50P二者中形成P阱或N阱。Additionally, in FIG. 6 , suitable wells (not shown) may be formed in
在具有不同阱类型的实施例中,可以使用光致抗蚀剂或其他掩模(未示出)来实现区域50N和区域50P的不同注入步骤。例如,可以在区域50N中的鳍52和STI区域56上方形成光致抗蚀剂。图案化光致抗蚀剂以暴露衬底50的区域50P,例如,PMOS区域。可以通过使用旋涂技术来形成光致抗蚀剂,并且可以使用可接受的光刻技术对光致抗蚀剂进行图案化。一旦光致抗蚀剂被图案化,则在区域50P中执行n型杂质注入,并且光致抗蚀剂可以用作掩模以基本上防止n型杂质被注入到区域50N中,例如,NMOS区域。n型杂质可以是以等于或小于1018cm-3(例如,在约1017cm-3和约1018cm-3之间)的浓度注入该区域中的磷、砷等。在注入之后,例如通过可接受的灰化工艺去除光致抗蚀剂。In embodiments with different well types, photoresist or other masks (not shown) may be used to achieve different implant steps for
在注入区域50P之后,在区域50P中的鳍52和STI区域56上方形成光致抗蚀剂。图案化光致抗蚀剂以暴露衬底50的区域50N,例如,NMOS区域。可以通过使用旋涂技术来形成光致抗蚀剂,并且可以使用可接受的光刻技术对光致抗蚀剂进行图案化。一旦光致抗蚀剂被图案化,则在区域50N中执行p型杂质注入,并且光致抗蚀剂可以用作掩模以基本上防止p型杂质被注入到区域50P中,例如,PMOS区域。p型杂质可以是以等于或小于1018cm-3(例如,在约1017cm-3和约1018cm-3之间)的浓度注入该区域中的硼、BF2等。在注入之后,例如通过可接受的灰化工艺去除光致抗蚀剂。After
在区域50N和区域50P的注入之后,可以执行退火以激活被注入的p型和/或n型杂质。在一些实施例中,外延鳍的生长材料可以在生长期间被原位掺杂,这可以避免注入,但可以一起使用原位掺杂和注入掺杂。After the implantation of the
在图7中,在鳍52上形成虚设电介质层60。虚设电介质层60可以是例如氧化硅、氮化硅、它们的组合等,并且可以根据可接受的技术来沉积或热生长。在虚设电介质层60上方形成虚设栅极层62,并且在虚设栅极层62上方形成掩模层64。虚设栅极层62可以沉积在虚设电介质层60上并然后被平坦化,例如通过CMP。掩模层64可以被沉积在虚设栅极层62上方。虚设栅极层62可以是导电材料,并且可以选自包括多晶硅(polysilicon)、多晶硅锗(多晶SiGe)、金属氮化物、金属硅化物、金属氧化物和金属的组。在一个实施例中,沉积并再结晶非晶硅以产生多晶硅。虚设栅极层62可以通过物理气相沉积(PVD)、CVD、溅射沉积、或本领域已知并用于沉积导电材料的其他技术来沉积。虚设栅极层62可以由从隔离区域的蚀刻具有高蚀刻选择性的其他材料制成。掩模层64可以包括例如氮化硅、氮氧化硅等。在该示例中,跨区域50N和区域50P形成单个虚设栅极层62和单个掩模层64。在一些实施例中,可以在区域50N和区域50P中形成单独的虚设栅极层,并且可以在区域50N和区域50P中形成单独的掩摸层。注意,仅为了说明的目的,虚设电介质层60被示出仅覆盖鳍52。在一些实施例中,虚设电介质层60可以被沉积为使得虚设电介质层60覆盖STI区域56,在虚设栅极层62和STI区域56之间延伸。In FIG. 7 ,
图8A至图9B以及图11A至图11B示出了实施例器件的制造中的各种附加步骤。图8A-图9B以及图11A-图11B示出了区域50N和区域50P中的任一个的特征。例如,所示的结构可适用于区域50N和区域50P二者。区域50N和区域50P的结构中的差异(如果有的话)在结合每个附图的文本中进行描述。8A-9B and 11A-11B illustrate various additional steps in the fabrication of an embodiment device. FIGS. 8A-9B and FIGS. 11A-11B show features of any one of the
在图8A和图8B中,可以使用可接受的光刻和蚀刻技术将掩模层64图案化以形成掩模74。然后可以将掩模74的图案转移到虚设栅极层62。在一些实施例中,掩模74的图案还可以通过可接受的蚀刻技术转移到虚设电介质层60,在虚设电介质层60的剩余部分上方形成虚设栅极72。在一些实施例(未单独示出)中,可以不对虚设电介质层60进行图案化。虚设栅极72覆盖鳍52的相应沟道区域58。掩模74的图案可以用于将每个虚设栅极72与相邻的虚设栅极物理地分开。虚设栅极72还可以具有基本上垂直于相应的外延鳍52的长度方向的长度方向。In FIGS. 8A and 8B , masking
此外,在图8A和图8B中,在虚设栅极72、掩模74和/或鳍52的暴露表面上形成第一间隔件材料78。第一间隔件材料78用于形成第一间隔件80(参见图11A-图11B)。在一些实施例中,第一间隔件材料78可以是诸如氧化物、氮化物之类的材料,诸如氮氧化硅、碳氮氧化硅、碳氧化硅等之类的材料,或其组合。在一些实施例中,可以使用诸如热氧化、CVD、PE-CVD、ALD、PVD、溅射等之类的工艺来形成第一间隔件材料78。在图8B中,第一间隔件材料78被示出为在虚设栅极72和掩模74上方垂直延伸,并且在鳍52上横向延伸。在一些实施例中,第一间隔件材料78可包括一种或多种材料的多个层。在一些实施例中,第一间隔件材料78可以形成为具有约3nm和约5nm之间的厚度。Additionally, in FIGS. 8A and 8B , a
在一些情况下,可以通过使用具有较小电介质常数(k)的材料来减小器件(例如,FinFET器件)的寄生电容。例如,使用具有较小电介质常数的第一间隔件材料78来形成第一间隔件80可以减小FinFET器件内的寄生电容,例如,栅极电极94和源极/漏极接触112之间的寄生电容(参见图26A-B)。在一些实施例中,第一间隔件材料78可包括电介质常数小于约k=3.9的材料,例如,约k=3.5或更小。例如,在一些实施例中,碳氧化硅材料可以用于第一间隔件材料78。碳氧化硅具有约k=3.5或更小的电介质常数,因此使用碳氧化硅用于第一间隔件材料78可以减小FinFET器件内的寄生电容。在一些实施例中,可以使用诸如ALD等之类的技术来沉积碳氧化硅材料。在一些实施例中,可以使用约50℃和约80℃之间的工艺温度以及约5托和约10托之间的工艺压力来沉积碳氧化硅材料。在一些实施例中,碳氧化硅可以被形成为具有约40原子%和约46原子%之间的硅,具有约45原子%和约50原子%之间的氧,或具有约5原子%和约18原子%之间的碳。在一些实施例中,第一间隔件材料78的不同区域或不同层可包含不同的碳氧化硅组分。In some cases, the parasitic capacitance of a device (eg, a FinFET device) can be reduced by using a material with a smaller dielectric constant (k). For example, using
在形成第一栅极间隔件材料78之后,可以执行对轻微掺杂源极/漏极(LDD)区域(未明确示出)的注入。在具有不同器件类型的实施例中,类似于上面在图6中讨论的注入,可以在区域50N上方形成掩模,例如,光致抗蚀剂,而暴露区域50P,并且可以通过第一间隔件材料78将适当类型(例如,n型或p型)的杂质注入区域50P中的鳍52中。然后可以去除掩模。随后,可以在区域50P上方形成掩模,例如,光致抗蚀剂,而暴露区域50N,并且可以通过第一间隔件材料78将适当类型的杂质注入到区域50N中的鳍52中。然后可以去除掩模。n型杂质可以是上面在图6中先前讨论的任何n型杂质或其他n型杂质,并且p型杂质可以是上面在图6中先前讨论的任何p型杂质或其他p型杂质。轻微掺杂源极/漏极区域可具有约1015cm-3和约1016cm-3之间的杂质浓度。可以使用退火来激活所注入的杂质。由于通过第一间隔件材料78执行LDD掺杂剂注入,因此第一间隔件材料78的部分(以及第一间隔件80的部分)也可掺杂有所注入的杂质。这样,在一些实施例中,第一间隔件材料78可以具有比在注入杂质之后形成的第二间隔件材料79(参见图9A-图9B)更高的杂质浓度。After the first
在图9A和图9B中,在第一间隔件材料78上形成第二间隔件材料79。第二间隔件材料79用于形成第二间隔件81(参见图11A-图11B)。在一些实施例中,第二间隔件材料79可以是诸如氧化物、氮化物之类的材料,诸如氮氧化硅、碳氮氧化硅、碳氧化硅等之类的材料,或其组合。在一些实施例中,可以使用诸如CVD、PE-CVD、ALD、PVD、溅射等之类的工艺来形成第二间隔件材料79。在一些实施例中,第二间隔件材料79可包括一种或多种材料的多个层。在一些实施例中,第二间隔件材料79可以被形成为具有约3nm和约5nm之间的厚度。由于第二间隔件材料79是在注入杂质之后形成的,因此第二间隔件材料79可以具有比第一间隔件材料78更低的杂质浓度。在一些实施例中,省略第二间隔件材料79和第二间隔件81(未单独说明)。In FIGS. 9A and 9B , a
类似于上述第一间隔件材料78(参见图8B),通过从具有较低电介质常数的第二间隔件材料79形成第二间隔件81(参见图11B),可以减少器件(例如,FinFET器件)内的寄生电容。在一些实施例中,第二间隔件材料79可以包括碳氧化硅,因此可以具有小于约k=3.9的电介质常数,例如,约k=3.5或更小。第二间隔件材料79的碳氧化硅材料可以以与先前描述的用于形成第一间隔件材料78的碳氧化硅的方式类似的方式来形成,但在其他实施例中可以不同地形成第二间隔件材料79。第二间隔件材料79的碳氧化硅的组成可以类似于先前针对第一间隔件材料78的碳氧化硅所描述的组成。Similar to the
在一些实施例中,第一间隔件80的第一间隔件材料78和第二间隔件81的第二间隔件材料79均可由碳氧化硅形成。第一间隔件材料78和第二间隔件材料79可具有大约相同的碳氧化硅组成或具有不同的组成。例如,第一间隔件材料78可具有约45原子%和约48原子%之间的氧和/或约12原子%和约15原子%之间的碳的组成。第二间隔件材料79可具有约47原子%和约50原子%之间的氧和/或约10原子%和约13原子%之间的碳的组成。第一间隔件材料78或第二间隔件材料79可具有除这些示例之外的其他组成。在一些情况下,由碳氧化硅形成第一间隔件80的第一间隔件材料78和第二间隔件81的第二间隔件材料79二者可以比由不同的材料(例如,具有较高电介质常数的材料)形成第一间隔件80或第二间隔件中的一者或两者更多地减小寄生电容。In some embodiments, both the
转到图10,曲线图示出了FinFET器件的寄生电容(在Y轴上)相对于第二间隔件81的电介质常数(k)(在X轴上)的百分比变化的模拟数据。寄生电容的变化相对于点121,其表示第一间隔件80的第一间隔件材料78和第二间隔件81的第二间隔件材料79二者具有约k=5的电介质常数。点122表示由于第一间隔件材料78具有约k=5的电介质常数并且第二间隔件材料79具有约k=4的电介质常数而引起的电容变化。如图所示,第二间隔件材料79的较小电介质常数使寄生电容减小约2%。Turning to FIG. 10 , the graph shows simulated data for the percent change in the parasitic capacitance (on the Y-axis) of the FinFET device relative to the dielectric constant (k) of the second spacer 81 (on the X-axis). The change in parasitic capacitance is relative to
仍参考图10,点123表示由于第一间隔件80的第一间隔件材料78具有约k=5的电介质常数并且第二间隔件81的第二间隔件材料79由具有约k=3.5的电介质常数的碳氧化硅形成而导致的电容变化。如图所示,碳氧化硅的较小电介质常数使寄生电容减小约3.5%。点124表示由于第一间隔件材料78和第二间隔件材料79都由电介质常数约为k=3.5的碳氧化硅形成而引起的电容变化。如图所示,通过由碳氧化硅形成第一间隔件材料78和第二间隔件材料79,寄生电容可以减小约6.5%。因此,如图10的曲线图所示,由碳氧化硅形成第一间隔件80的第一间隔件材料78和第二间隔件81的第二间隔件材料79二者可以减小诸如FinFET器件之类的器件的寄生电容。图10中所示的曲线图和模拟数据是出于说明的目的,并且第一间隔件材料78或第二间隔件材料79的电介质常数在其他情况下可以是不同的,或者第一间隔件材料78或第二间隔件材料79的各种材料的电容变化在其他情况下可以是不同的。Still referring to FIG. 10 ,
转到图11A和图11B,形成第一间隔件80、第二间隔件81和侧壁间隔件86。例如,可以通过在第二间隔件材料79上方共形地沉积绝缘材料并随后各向异性地蚀刻绝缘材料来形成侧壁间隔件86。在一些实施例中,绝缘材料的各向异性蚀刻还蚀刻第一间隔件材料78以形成第一间隔件80并蚀刻第二间隔件材料79以形成第二间隔件81。第二间隔件81可具有比第一间隔件80更低的注入杂质浓度,如上关于第二间隔件材料79和第一间隔件材料78所述。在一些实施例中,侧壁间隔件86的绝缘材料可以是低k电介质材料,例如,磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)、氟化硅酸盐玻璃(FSG)、氮化硅、碳氧化硅、碳化硅、碳氮化硅等、或它们的组合。侧壁间隔件86的材料可以通过任何合适的方法形成,例如,CVD、PE-CVD、ALD等。在一些实施例中,侧壁间隔件86可具有约3nm和约5nm之间的厚度。Turning to FIGS. 11A and 11B ,
转到图12A至图19B,根据一些实施例,在鳍52中形成外延源极/漏极区域82A-B。图12A-图19B示出了在子区域50P-1中形成外延源极/漏极区域82A以及在子区域50P-2中形成外延源极/漏极区域82B。子区域50P-1和子区域50P-2可以是衬底50的区域50P的子区域。区域50N中和区域50P中的外延源极/漏极区域(包括外延源极/漏极区域82A-B)在本文可以统称为外延源极/漏极区域82。图12A、13A、14A、15A、16A、17A、18A和19A沿图1中所示的参考横截面C-C示出,并且图12B、13B、14B、15B、16B、17B、18B和19B沿图1中所示的参考横截面B-B示出。外延源极/漏极区域82被形成在鳍52中,使得每个虚设栅极72被设置在相应的相邻外延源极/漏极区域82对之间。在一些实施例中,外延源极/漏极区域82可以延伸到鳍52中。在一些实施例中,侧壁间隔件86用于将外延源极/漏极区域82与虚设栅极72分开适当的横向距离,使得外延源极/漏极区域82不使随后形成的FinFET的栅极短路。Turning to FIGS. 12A-19B , epitaxial source/
转到图12A-图12B,执行第一湿法清洁工艺95A。第一湿法清洁工艺95B可以是从表面去除残余物的湿法化学清洁工艺(例如,“除渣”工艺)。第一湿法清洁工艺95A还可以包括使氧原子键合到侧壁间隔件86的表面的表面处理,这减少了在后续工艺步骤期间诸如氮或氢之类的物质的除气。在一些情况下,除气(例如,NHx除气)可导致在光致抗蚀剂显影期间发生缺陷(有时称为“光致抗蚀剂毒物”)。可以执行第一湿法清洁工艺95A以制备用于形成掩模91A的结构(参见图13A-图13B)。Turning to FIGS. 12A-12B , a first
在一些实施例中,第一湿法清洁工艺95A可包括硫酸(H2SO4)和过氧化氢(H2O2)的经加热的混合物。混合物可以是例如以约2:1和约5:1之间的摩尔比混合的硫酸和过氧化氢。可将混合物加热至约80℃和约180℃之间的温度。在第一湿法清洁工艺95A期间,例如,该结构可以浸没在经加热的混合物中。本文描述的这种混合物可以去除残留物并且还减少光致抗蚀剂图案化期间的光刻相关缺陷的可能性,例如,由于“光致抗蚀剂毒物”引起的缺陷。In some embodiments, the first
此外,用于第一湿法清洁工艺95A的硫酸和过氧化氢的经加热的混合物可比其他清洁技术(例如,基于等离子体的技术(例如,使用氢等离子体、氧等离子体等))更少地损坏第一间隔件80和第二间隔件81。例如,一些氧等离子体清洁技术可能耗尽碳的碳氧化硅层,导致对层的损坏,并因此还引起可能的工艺问题或缺陷。因此,当使用碳氧化硅材料时,使用本文所述的混合物可以减少光刻相关缺陷(例如,“光致抗蚀剂毒物”),同时还引起较少的损伤相关缺陷。例如,通过将本文所述的混合物用于第一湿法清洁工艺95A,第一间隔件80和第二间隔件81二者都可以由碳氧化硅材料形成,降低了工艺问题或缺陷的总体可能性。以这种方式,可以实现使用清洁工艺(例如,改进的光刻)和碳氧化硅材料(例如,降低的寄生电容)二者的益处。Additionally, the heated mixture of sulfuric acid and hydrogen peroxide used in the first
转到图13A-图13B,在子区域50P-2上方形成掩模91A。掩模91A可以包括单层或者可以是多层结构(例如,双层结构、三层结构、或具有多于三层)。掩模91A可以包括诸如光致抗蚀剂材料、氧化物材料、氮化物材料、其他电介质材料等之类的材料,或其组合。在一些实施例中,掩模91A包括底部抗反射涂层(BARC)。掩模91A可以使用一种或多种合适的技术来形成,例如,旋涂技术、CVD、PE-CVD、ALD、PVD、溅射等、或其组合。可以使用合适的光刻和蚀刻工艺来图案化掩模91A以暴露子区域50P-1的部分。例如,可以使用一个或多个湿法蚀刻工艺或各向异性干法蚀刻工艺来蚀刻掩模91A。Turning to FIGS. 13A-13B ,
转到图14A-图14B,根据一些实施例,在子区域50P-1的鳍52中形成凹槽84A。可以使用例如各向异性干法蚀刻工艺来形成凹槽84A。在一些情况下,第一间隔件80、第二间隔件81、或侧壁间隔件86的部分也可以通过各向异性干法蚀刻工艺来蚀刻。图14A中所示的间隔件80、81和86的示例性蚀刻旨在是说明性的,并且在其他实施例中,各向异性干法蚀刻工艺可以不同地蚀刻间隔件80、81或86。例如,在其他实施例中,各向异性干法蚀刻工艺可以蚀刻间隔件80、81和86的部分不同的量,使得间隔件80、81或86中的一个或多个在STI区域56上方比间隔件80、81或86中的另一个延伸得更高。这些和其他变化旨在落入本公开的范围内。在一些实施例中,可以控制各向异性干法蚀刻工艺的工艺参数,以便蚀刻凹槽84A或间隔件80、81或86以具有期望的特性。工艺参数可包括例如工艺气体混合物、电压偏压、RF功率、工艺温度、工艺压力、其他参数、或其组合。在一些情况下,可以通过以这种方式控制凹槽84A或间隔件80、81或86的蚀刻来控制在凹槽84A中形成的外延源极/漏极区域82A的形状、体积、尺寸、或其他特性(参见图18A-图18B)。Turning to FIGS. 14A-14B ,
转到图15A-图15B,去除掩模91A并执行第二湿法清洁工艺95B。可以使用合适的工艺去除掩模91A,例如,湿法化学工艺或干法工艺。在去除掩模91A之后,执行第二湿法清洁工艺95B以去除残留物并制备用于形成掩模91B的结构的表面(参见图16A-图16B)。在一些实施例中,作为执行第二湿法清洁工艺95B的一部分来去除掩模91A。第二湿法清洁工艺95B可以类似于第一湿法清洁工艺95A(参见图12A-图12B)。例如,第二湿法清洁工艺95B可以使用硫酸和过氧化氢的经加热的混合物。该混合物可具有与针对第一湿法清洁工艺95A所述的相似的组成,并可加热至类似温度。在其他情况下,第二湿法清洁工艺95B可以是不同于用于第一湿法清洁工艺95A的硫酸和过氧化氢的混合物,并且可以加热至不同的温度。类似于第一湿法清洁工艺95A,使用硫酸和过氧化氢的经加热的混合物可以减少对碳氧化硅层的损害,例如,其中第一间隔件80和/或第二间隔件81由碳氧化硅形成的实施例。Turning to FIGS. 15A-15B , the
转到图16A-图16B,在子区域50P-1上方形成掩模91B。掩模91B可以包括单层或可以是多层结构(例如,双层结构、三层结构、或具有多于三层)。掩模91A可以包括诸如光致抗蚀剂材料、氧化物材料、氮化物材料、其他电介质材料等之类的材料,或其组合。在一些实施例中,掩模91B包括底部抗反射涂层(BARC)。掩模91B可以使用一种或多种合适的技术来形成,例如,旋涂技术、CVD、PE-CVD、ALD、PVD、溅射等、或其组合。可以使用合适的光刻和蚀刻工艺来图案化掩模91B以暴露子区域50P-2的部分。例如,可以使用一个或多个湿法蚀刻工艺或各向异性干法蚀刻工艺来蚀刻掩模91B。掩模91B可以类似于掩模91A(参见图13A-图13B)或者与掩模91A不同。Turning to FIGS. 16A-16B ,
转到图17A-图17B,根据一些实施例,在子区域50P-2的鳍52中形成凹槽84B。可以使用例如各向异性干法蚀刻工艺来形成凹槽84B。在一些情况下,第一间隔件80、第二间隔件81或侧壁间隔件86的部分还可以通过各向异性干法蚀刻工艺来蚀刻。在一些实施例中,可以控制各向异性干法蚀刻工艺的工艺参数以便蚀刻凹槽84B或间隔件80、81或86以具有期望的特性。用于子区域50P-2的蚀刻的工艺参数可以与用于子区域50P-1的蚀刻的工艺参数不同。工艺参数可包括例如工艺气体混合物、电压偏压、RF功率、工艺温度、工艺压力、其他参数、或其组合。在一些实施例中,可以控制工艺参数以使得子区域50P-2中的凹槽84B与子区域50P-1中的凹槽84A不同(例如,具有不同的深度、宽度、形状等)。还可以控制工艺参数以使得子区域50P-2中的间隔件80、81或86与子区域50P-1中的间隔件80、81或86不同(例如,具有不同的高度、宽度、形状等)。这些是示例,并且这些和其他变化旨在落入本公开的范围内。在一些情况下,可以通过以这种方式控制凹槽84B或间隔件80、81或86的蚀刻来控制在凹槽84B中形成的外延源极/漏极区域82B的形状、体积、尺寸、或其他特性(参见图18A-图18B)。通过在子区域50P-1和子区域50P-2内使用单独且不同的蚀刻工艺,可以形成具有不同特性的每个子区域中的外延源极/漏极区域。Turning to FIGS. 17A-17B ,
转到图18A-图18B,去除掩模91B。可以使用合适的工艺去除掩模91B,例如,湿法化学工艺或干法工艺。以这种方式,可以制备子区域50P-1和50P-2的源极/漏极区域以用于形成外延源极/漏极区域82A-B(参见图19A-图19B)。如图12A-图18B中所述,可以使用多图案化工艺来不同地蚀刻不同的子区域。在一些实施例中,多图案化工艺可以是例如图12A-图18B中所描述的“2P2E”工艺,其中,第一子区域(例如,子区域50P-2)被掩蔽而第二子区域(例如,子区域50P-1)被蚀刻,然后掩蔽第二子区域并同时蚀刻第一子区域。在其他实施例中,在掩蔽子区域50P-2并蚀刻子区域50P-1之前,可以掩蔽子区域50P-1并且首先蚀刻子区域50P-2。通过依次掩蔽和蚀刻适当的子区域,可以使用不同的蚀刻工艺以这种方式蚀刻两个以上的子区域。此外,通过使用类似于湿法清洁工艺95A-B的湿法清洁工艺,可以在每个掩模步骤之前执行湿法清洁工艺,并且损坏由碳氧化硅形成的层的可能性较小。Turning to Figures 18A-18B,
转到图19A-图19B,根据一些实施例,在区域50P中形成外延源极/漏极区域82。在一些实施例中,可首先执行预清洁工艺以从凹槽84A-B中移除氧化物(例如,原生氧化物)。预清洁工艺可包括湿法化学工艺(例如,稀释的HF)、等离子体工艺或组合。使用相同的外延工艺,在子区域50P-1的凹槽84A中形成外延源极/漏极区域82A,并且在子区域50P-2的凹槽84B中形成外延源极/漏极区域82B。在一些实施例中,可以使用与外延源极/漏极区域82A-B相同的外延工艺在其他子区域(如果有的话)中形成另外的外延源极/漏极区域。外延源极/漏极区域82A-B可以包括任何可接受的材料,例如,适合于p型FinFET的材料。例如,如果鳍52是硅或SiGe,则外延源极/漏极区域82A-B可以包括SiGe、SiGeB、Ge、GeSn、其他材料等,或它们的组合。Turning to FIGS. 19A-19B , epitaxial source/
在一些实施例中,单个外延工艺可以在不同的子区域中形成不同的外延源极/漏极区域。外延源极/漏极区域可以是不同的,这是由于在子区域中执行的不同蚀刻工艺形成凹槽(例如,凹槽84A-B)中的差异,或者子区域中的间隔件(例如,间隔件80、81或86)的差异。例如,如图19A所示,在子区域50P-1的凹槽84A中形成的外延源极/漏极区域82A在外延期间一起合并到单个外延源极/漏极区域82A中,但在子区域50P-2的凹槽84B中形成的外延源极/漏极区域82B保持未被合并。以这种方式,外延源极/漏极区域82A被形成为具有比外延源极/漏极区域82B更大的体积。In some embodiments, a single epitaxial process can form different epitaxial source/drain regions in different sub-regions. The epitaxial source/drain regions may be different due to differences in recesses (e.g., recesses 84A-B) formed by different etch processes performed in the sub-regions, or spacers in the sub-regions (e.g.,
图19A-图19B中所示的合并外延源极/漏极区域82A和未合并外延源极/漏极区域82B旨在作为使用相同的外延工艺在不同的子区中形成的不同外延源极/漏极区域的说明性示例,并且这些以及其他变化旨在落入本公开的范围内。在其他实施例中,在不同子区域中形成的外延源极/漏极区域可以以其他方式不同,例如,高度、宽度、形状、体积、轮廓等。以这种方式,可以在不同的子区域中并使用相同的外延工艺形成具有不同外延源极/漏极区域的FinFET器件。例如,可以在第一子区域(例如,子区域50P-1)中形成逻辑器件,并且可以在第二子区域(例如,子区域50P-2)中形成SRAM器件。这些是示例,其他类型的器件也是可能的。Merged epitaxial source/
区域50N(例如,NMOS区域)中的外延源极/漏极区域82可以通过掩蔽区域50P(例如,PMOS区域)并蚀刻区域50N中的鳍52的源极/漏极区域以在鳍52中形成凹槽来形成。然后,区域50N中的外延源极/漏极区域82可以在凹槽中外延生长。区域50N中的外延源极/漏极区域82可以在形成区域50P中的外延源极/漏极区域82之前或之后(例如,在形成图19A-图19B中所示的外延源极/漏极区域82A-B之前或之后)形成。区域50N的外延源极/漏极区域82可以包括任何可接受的材料,例如,适合于n型FinFET的材料。例如,如果鳍52是硅,则区域50N中的外延源极/漏极区域82可以包括硅、SiC、SiCP、SiP等。区域50N中的外延源极/漏极区域82可以具有从鳍52的相应表面凸起的表面,可以被合并或不合并,或者可以具有小平面。Epitaxial source/
在一些实施例中,区域50N可以包括子区域,并且可以在区域50N中形成外延源极/漏极区域82之前使用掩蔽和蚀刻单独的子区域的多图案化工艺。该多图案化工艺可以类似于如图12A-图18B中所描述的针对区域50P的子区域50P-1和50P-2执行的多图案化工艺。以这种方式,可以使用相同的外延工艺在不同的子区域中形成不同的外延源极/漏极区域,因此可以在不同的子区域中形成不同的FinFET器件(例如,SRAM器件、逻辑器件等)。在一些实施例中,该多图案化工艺可包括与先前描述的湿法清洁工艺95A-B相类似的一个或多个湿法清洁工艺。以这种方式,碳氧化硅可以用于区域50N中的第一间隔件80和第二间隔件81,并且在多图案化工艺期间损坏的可能性较小。在一些实施例中,可以在区域50N或50P中或在其子区域中形成外延源极/漏极区域之后去除侧壁间隔件86。可以使用例如各向异性干法蚀刻来去除侧壁间隔件86。In some embodiments,
可以用掺杂剂注入外延源极/漏极区域82和/或鳍52以形成源极/漏极区域,类似于先前讨论的用于形成轻掺杂源极/漏极区域的工艺,然后进行退火。源极/漏极区域的杂质浓度可以在约1019cm-3和约1021cm-3之间。源极/漏极区域的n型和/或p型杂质可以是前面讨论的任何杂质。在一些实施例中,外延源极/漏极区域82可以在生长期间被原位掺杂。Epitaxial source/
转到图20A和20B,在区域50N和区域50P上方沉积ILD 88。图20A-图20B中所示的结构是在形成外延源极/漏极区域82之后的示例结构,并且所描述的工艺步骤可适用于先前描述的任何结构、实施例或器件。ILD 88可以由电介质材料或半导体材料形成,并且可以通过任何合适的方法沉积,例如,CVD、等离子体增强CVD(PECVD)、或FCVD。电介质材料可包括磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂的磷硅酸盐玻璃(BPSG)、未掺杂的硅酸盐玻璃(USG)等。半导体材料可包括非晶硅、硅锗(SixGe1-x,其中x可在约0和1之间)、纯锗等。可以使用通过任何可接受的工艺形成的其他绝缘或半导体材料。在一些实施例中,在ILD88与外延源极/漏极区域82、硬掩模74和侧壁间隔件86之间设置接触蚀刻停止层(CESL)87。CESL 87可包括电介质材料,例如,氮化硅、氧化硅、氮氧化硅等,或它们的组合。Turning to Figures 20A and 20B,
在图21A和图21B中,可以执行平坦化工艺(例如,CMP)以使ILD 88的顶表面与虚设栅极72的顶表面齐平。平坦化工艺还可以去除虚设栅极72上的掩模74,并且还可以去除第一间隔件80、第二间隔件81和侧壁间隔件86沿着掩模74的侧壁的部分。在平坦化工艺之后,虚设栅极72、第一间隔件80、第二间隔件81、侧壁间隔件和ILD 88的顶表面是水平的。因此,虚设栅极72的顶表面通过ILD 88而暴露。In FIGS. 21A and 21B , a planarization process (eg, CMP) may be performed to make the top surface of
在图22A和图22B中,在一个或多个蚀刻步骤中去除虚设栅极72以及虚设电介质层60的直接位于暴露的虚设栅极72下面的部分,从而形成凹槽90。在一些实施例中,通过各向异性干法蚀刻工艺来去除虚设栅极72。例如,蚀刻工艺可以包括使用一种或多种工艺气体的干法蚀刻工艺,其选择性地蚀刻虚设栅极72而不蚀刻ILD 88或栅极间隔件86。每个凹槽90暴露相应的鳍52的沟道区域。每个沟道区域58被设置在相邻的外延源极/漏极区域82对之间。在去除期间,当蚀刻虚设栅极72时,虚设电介质层60可以用作蚀刻停止层。然后可以在去除虚设栅极72之后可选地去除虚设电介质层60。In FIGS. 22A and 22B ,
在图23A和23B中,根据一些实施例,形成栅极电介质层92和栅极电极94以用于替换栅极。图24示出了图23B的详细视图,如图所示。栅极电介质层92被共形地沉积在凹槽90中,例如,在鳍52的顶表面和侧壁上以及第一间隔件80的侧壁上。栅极电介质层92也可以被形成在第一ILD 88的顶表面上。根据一些实施例,栅极电介质层92包括氧化硅、氮化硅、或其多个层。在一些实施例中,栅极电介质层92是高k电介质材料,并且在这些实施例中,栅极电介质层92可具有大于约7.0的k值,并且可包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金属氧化物或硅酸盐,及其组合。栅极电介质层92的形成方法可以包括分子束沉积(MBD)、ALD、PECVD等。在其中虚设栅极电介质60的部分保留在凹槽90中的实施例中,栅极电介质层92包括虚设栅极电介质60的材料(例如,氧化硅)。In FIGS. 23A and 23B , a
栅极电极94分别被沉积在栅极电介质层92上方,并填充凹槽90的其余部分。栅极电极94可以是含金属材料,例如,TiN、TiO、TaN、TaC、Co、Ru、Al、W、其组合,或其多个层。例如,尽管图23B中示出了单层栅极电极94,但栅极电极94可以包括任意数量的衬垫层94A、任意数量的功函数调整层94B、以及填充材料94C,如图24所示。在填充栅极电极94之后,可以执行诸如CMP之类的平坦化工艺,以去除栅极电介质层92的多余部分和栅极电极94的材料,这些多余部分在ILD 88的顶表面上方。因此,栅极电极94和栅极电介质层92的材料的剩余部分形成所得FinFET的替换栅极。栅极电极94和栅极电介质层92可以被统称为“栅极堆叠”。栅极和栅极堆叠可以沿着鳍52的沟道区域58的侧壁延伸。
区域50N和区域50P中的栅极电介质层92的形成可以同时发生,使得每个区域中的栅极电介质层92由相同的材料形成,并且栅极电极94的形成可以同时发生,使得每个区域中的栅极电极94由相同的材料形成。在一些实施例中,每个区域中的栅极电介质层92可以通过不同的工艺形成,使得栅极电介质层92可以是不同的材料,和/或每个区域中的栅极电极94可以通过不同的工艺形成,使得栅极电极94可以是不同的材料。在使用不同的工艺时,可以使用各种掩蔽步骤来掩蔽和暴露适当的区域。The formation of
在图25A和图25B中,在ILD 88上方沉积ILD 108。在实施例中,ILD 108是通过可流动CVD方法形成的可流动膜。在一些实施例中,ILD 108由诸如PSG、BSG、BPSG、USG等之类的电介质材料形成,并且可以通过任何合适的方法来沉积,例如,CVD、PE-CVD等。In FIGS. 25A and 25B ,
在图26A和图26B中,根据一些实施例,通过ILD 108和ILD 88形成接触110和112。在一些实施例中,可以执行退火工艺以在形成接触112之前,在外延源极/漏极区域82和接触112之间的界面处形成硅化物。接触110物理地并且电连接到栅极电极94,并且接触112物理地并且电连接到外延源极/漏极区域82。图26A-图26B示出了接触110和112在同一横截面中;然而,在其他实施例中,接触110和112可以设置在不同的横截面中。此外,图26A-图26B中的接触110和112的位置仅是说明性的,并不旨在以任何方式进行限制。例如,接触110可以如图所示与鳍52垂直对齐,或者可以设置在栅极电极94上的不同位置处。此外,可以在形成接触110之前、同时、或之后形成接触112。In FIGS. 26A and 26B ,
转到图27,曲线图示出了对由碳氧化硅材料形成的第一间隔件80和第二间隔件81中的存在碳浓度的测量的实验数据。图27示出了在不同的工艺步骤(称为步骤A、B、C和D)之后所测量的碳浓度。在图27中,点125A-D示出了第一样品的碳浓度,点126A-D示出了第二样品的碳浓度,以及点127A-D示出了第三样品的碳浓度。如下面更详细描述的,第一湿法清洁工艺95A和第二湿法清洁工艺95B被用于清洁第一样品(点125A-D)和第二样品(点126A-D),但氧等离子体工艺被用于清洁第三样品(点127A-D)。工艺步骤A对应于形成第一间隔件80和第二间隔件81之后的步骤,并且因此点125A、126A和127A示出了样品的初始碳浓度(例如,如图11A-图11B中所示)。Turning to FIG. 27 , the graph shows experimental data for the measurement of the concentration of carbon present in the
工艺步骤B对应于已经执行了图12A-图18B中描述的2P2E多图案化工艺之后的步骤。然而,第一样品(点125A-D)和第二样品(点126A-D)使用先前描述的第一湿法清洁工艺95A和第二湿法清洁工艺95B,而第三样品(点127A-D)使用单独的氧等离子体工艺而不是第一湿法清洁工艺95A和第二湿法清洁工艺95B。如点125B和126B所示,对第一样品和第二样品执行的湿法清洁工艺95A-B将第一和第二样品的第一间隔件80和第二间隔件81的碳浓度降低至初始碳浓度(点125A和126A)的约50%。如点127B所示,对第三样品执行的氧等离子体工艺将第一间隔件80和第二间隔件81的碳浓度降低至小于初始碳浓度(点127A)的约10%。碳浓度的降低表明氧等离子体工艺对第一间隔件80和第二间隔件81的损害增加。因此,图27示出了使用湿法清洁工艺95A-B可以比其他类型的清洁工艺更少地降低碳氧化硅材料的碳浓度。图27中所示的数据是说明性示例,并且使用湿法清洁工艺95A-B可以在其他情况下将碳浓度减少更大量或减少更少量。Process step B corresponds to a step after the 2P2E multi-patterning process described in FIGS. 12A-18B has been performed. However, the first sample (points 125A-D) and the second sample (points 126A-D) used the previously described first
工艺步骤C对应于在执行如图19A-图19B中所述的预清洁工艺之前的步骤。如图所示,第一样品(点125C)、第二样品(点126C)和第三样品(点127C)保持与工艺步骤B处大致相同的碳浓度。工艺步骤D对应于在形成如图19A-图19B所述的外延源极/漏极区域82A-B之前的步骤。如图所示,第一样品(点125D)、第二样品(点126D)和第三样品(点127D)保持与工艺步骤B和工艺步骤C处大致相同的碳浓度。因此,在一些情况下,在执行湿法清洁工艺95A-B之后,额外的工艺不会进一步降低碳浓度。Process step C corresponds to a step prior to performing the pre-cleaning process as described in FIGS. 19A-19B . As shown, the first sample (
本文描述的实施例可以实现优点。通过使用包括硫酸和过氧化氢的经加热的混合物的湿法清洁工艺,碳氧化硅材料可以用作FinFET器件的一部分,而对碳氧化硅材料的损坏风险较小。例如,碳氧化硅材料可以用于在工艺期间在虚设栅极的侧壁上形成的一个、两个或更多个间隔件。由于碳氧化硅具有相对低的电介质常数,因此在FinFET器件内使用碳氧化硅(例如,作为间隔件的材料)可以减小FinFET器件的寄生电容。例如,可以减小金属栅极和源极/漏极接触之间的寄生电容。通过减小寄生电容,可以提高FinFET器件的性能,特别是在更高频率的操作下。另外,除了多图案化技术之外,使用如本文所述的湿法清洁工艺混合物可以允许更可靠地使用碳氧化硅。例如,通过针对不同器件使用选择性的掩模和不同的蚀刻工艺,可以使用多图案化来使用同一外延步骤形成具有不同外延区域的器件。这可以减少整体工艺步骤,提高工艺效率并降低制造成本,同时还提供使用碳氧化硅的益处。Embodiments described herein may realize advantages. By using a wet cleaning process that includes a heated mixture of sulfuric acid and hydrogen peroxide, the silicon oxycarbide material can be used as part of a FinFET device with less risk of damage to the silicon oxycarbide material. For example, silicon oxycarbide material may be used for one, two or more spacers formed on the sidewalls of the dummy gates during the process. Since silicon oxycarbide has a relatively low dielectric constant, the use of silicon oxycarbide (eg, as a spacer material) within a FinFET device can reduce the parasitic capacitance of the FinFET device. For example, parasitic capacitance between the metal gate and source/drain contacts can be reduced. By reducing parasitic capacitance, the performance of FinFET devices can be improved, especially at higher frequency operations. Additionally, use of a wet cleaning process mixture as described herein may allow more reliable use of silicon oxycarbide in addition to multiple patterning techniques. For example, by using selective masks and different etch processes for different devices, multiple patterning can be used to form devices with different epitaxial regions using the same epitaxy step. This can reduce overall process steps, improve process efficiency and reduce manufacturing costs, while also providing the benefits of using silicon oxycarbide.
在实施例中,一种方法包括:在衬底上方形成第一鳍和第二鳍,在第一鳍上方形成第一虚设栅极结构并且在第二鳍上方形成第二虚设栅极结构,在第一鳍上、第二鳍上、第一虚设栅极结构上以及第二虚设栅极结构上沉积第一层碳氧化硅材料,通过第一层碳氧化硅材料将杂质注入到第一鳍和第二鳍中,在注入杂质之后,在第一层碳氧化硅材料上方沉积第二层碳氧化硅材料,在沉积第二层碳氧化硅材料之后,对第一鳍和第二鳍执行湿法清洁工艺,在第二鳍和第二虚设栅极结构上方形成第一掩模,凹陷与第一虚设栅极结构相邻的第一鳍,以在第一鳍中形成第一凹槽,在凹陷第一鳍之后,对第一鳍和第二鳍执行湿法清洁工艺,在第一鳍和第一虚设栅极结构上方形成第二掩模,凹陷与第二虚设栅极结构相邻的第二鳍,以在第二鳍中形成第二凹槽,以及执行外延工艺以同时形成第一凹槽中的第一外延源极/漏极区域和第二凹槽中的第二外延源极/漏极区域。在实施例中,该方法包括对第一层碳氧化硅材料执行各向异性蚀刻工艺以在第一虚设栅极结构上形成第一间隔件,并且对第二层碳氧化硅材料执行各向异性蚀刻工艺以在第二虚设栅极结构上形成第二间隔件。在实施例中,第一层碳氧化硅材料具有与第二层碳氧化硅材料相比更高的杂质浓度。在实施例中,湿法清洁工艺包括使用硫酸和过氧化氢的经加热的混合物。在实施例中,硫酸和过氧化氢的混合物是以2:1和5:1之间的摩尔比进行混合的。在实施例中,经加热的混合物处于80℃和180℃之间的温度。在实施例中,该方法包括在第二层碳氧化硅材料上方形成侧壁间隔件,侧壁间隔件包括与碳氧化硅材料不同的电介质材料。在实施例中,至少两个第一外延源极/漏极区域被合并在一起。在实施例中,第一凹槽具有第一深度,并且第二凹槽具有与第一深度不同的第二深度。In an embodiment, a method includes forming a first fin and a second fin over a substrate, forming a first dummy gate structure over the first fin and forming a second dummy gate structure over the second fin, the A first layer of silicon oxycarbide material is deposited on the first fin, on the second fin, on the first dummy gate structure and on the second dummy gate structure, and impurities are implanted into the first fin and the first fin through the first layer of silicon oxycarbide material. In the second fin, after impurity implantation, a second layer of silicon oxycarbide material is deposited over the first layer of silicon oxycarbide material, after depositing the second layer of silicon oxycarbide material, wet processing is performed on the first fin and the second fin a cleaning process, forming a first mask over the second fin and the second dummy gate structure, recessing the first fin adjacent to the first dummy gate structure to form a first groove in the first fin, forming a first groove in the recess After the first fin, perform a wet cleaning process on the first fin and the second fin, form a second mask over the first fin and the first dummy gate structure, and recess the second dummy gate structure adjacent to the second dummy gate structure. fins to form a second groove in the second fin, and performing an epitaxial process to simultaneously form a first epitaxial source/drain region in the first groove and a second epitaxial source/drain in the second groove polar region. In an embodiment, the method includes performing an anisotropic etching process on the first layer of silicon oxycarbide material to form a first spacer on the first dummy gate structure, and performing an anisotropic etching process on the second layer of silicon oxycarbide material. an etching process to form a second spacer on the second dummy gate structure. In an embodiment, the first layer of silicon oxycarbide material has a higher impurity concentration than the second layer of silicon oxycarbide material. In an embodiment, the wet cleaning process includes using a heated mixture of sulfuric acid and hydrogen peroxide. In an embodiment, the mixture of sulfuric acid and hydrogen peroxide is mixed in a molar ratio between 2:1 and 5:1. In an embodiment, the heated mixture is at a temperature between 80°C and 180°C. In an embodiment, the method includes forming sidewall spacers over the second layer of silicon oxycarbide material, the sidewall spacers comprising a different dielectric material than the silicon oxycarbide material. In an embodiment, at least two first epitaxial source/drain regions are merged together. In an embodiment, the first groove has a first depth and the second groove has a second depth different from the first depth.
在实施例中,一种方法包括:图案化衬底以形成多个第一鳍和多个第二鳍,在多个第一鳍上形成多个第一虚设栅极结构,在多个第二鳍上形成多个第二虚设栅极结构,在多个第一虚设栅极结构上形成多个第一间隔件结构,在多个第二虚设栅极结构上形成多个第二间隔件结构,其中,多个第一间隔件结构和多个第二间隔件结构包括低k电介质材料,在多个第一鳍中形成第一凹槽,包括执行第一湿法除渣工艺并执行第一各向异性蚀刻工艺以在多个第一鳍中形成第一凹槽,在多个第一鳍中形成第一凹槽之后,在多个第二鳍中形成第二凹槽,包括执行第二湿法除渣工艺,并执行第二各向异性蚀刻工艺以在多个第二鳍中形成第二凹槽,以及在第一凹槽中外延生长第一源极/漏极结构并且在第二凹槽中外延生长第二源极/漏极结构。在实施例中,第一源极/漏极结构和第二源极/漏极结构是通过相同的外延生长工艺同时形成的。在实施例中,第一各向异性蚀刻工艺与第二各向异性蚀刻工艺不同。在实施例中,低k电介质材料是碳氧化硅。在实施例中,形成多个第一间隔件结构包括:使用第一沉积工艺来沉积第一层低k电介质材料,对第一层低k电介质材料执行注入工艺,以及在执行注入工艺之后,使用第二沉积工艺来沉积第二层低k电介质材料。在实施例中,执行第一湿法除渣工艺包括:将硫酸和过氧化氢的混合物加热至80℃和180℃之间的温度。在实施例中,第一源极/漏极结构具有比第二源极/漏极结构更大的体积。在实施例中,与第二各向异性蚀刻工艺蚀刻多个第二间隔件结构相比,第一各向异性蚀刻工艺蚀刻多个第一间隔件结构更多。In an embodiment, a method includes patterning a substrate to form a plurality of first fins and a plurality of second fins, forming a plurality of first dummy gate structures on the plurality of first fins, and forming a plurality of first dummy gate structures on the plurality of second fins. forming a plurality of second dummy gate structures on the fins, forming a plurality of first spacer structures on the plurality of first dummy gate structures, forming a plurality of second spacer structures on the plurality of second dummy gate structures, Wherein, the plurality of first spacer structures and the plurality of second spacer structures include a low-k dielectric material, and forming first grooves in the plurality of first fins includes performing a first wet desmear process and performing first each An anisotropic etching process to form first grooves in the plurality of first fins, after forming the first grooves in the plurality of first fins, forming second grooves in the plurality of second fins, including performing a second wet method descum process, and perform a second anisotropic etching process to form second grooves in the plurality of second fins, and epitaxially grow the first source/drain structure in the first grooves and in the second grooves A second source/drain structure is epitaxially grown in the trench. In an embodiment, the first source/drain structure and the second source/drain structure are formed simultaneously through the same epitaxial growth process. In an embodiment, the first anisotropic etching process is different than the second anisotropic etching process. In an embodiment, the low-k dielectric material is silicon oxycarbide. In an embodiment, forming the plurality of first spacer structures includes: depositing a first layer of low-k dielectric material using a first deposition process, performing an implantation process on the first layer of low-k dielectric material, and after performing the implantation process, using A second deposition process is used to deposit a second layer of low-k dielectric material. In an embodiment, performing the first wet deslagging process includes heating the mixture of sulfuric acid and hydrogen peroxide to a temperature between 80°C and 180°C. In an embodiment, the first source/drain structure has a larger volume than the second source/drain structure. In an embodiment, the first anisotropic etch process etches more of the first plurality of spacer structures than the second anisotropic etch process etches the second plurality of spacer structures.
在实施例中,一种方法包括:形成从衬底延伸的第一鳍,在第一鳍上方并且沿着第一鳍的侧壁形成第一栅极堆叠,沿着第一栅极堆叠的侧壁形成第一间隔件,第一间隔件包括第一碳氧化硅组合物,沿着第一间隔件的侧壁形成第二间隔件,第二间隔件包括第二碳氧化硅组合物,沿着第二间隔件的侧壁形成第三间隔件,第三间隔件包括氮化硅,以及在第一鳍中并且与第三间隔件相邻形成第一外延源极/漏极区域。在实施例中,该方法包括:形成从衬底延伸的第二鳍,在第二鳍上方并且沿着第二鳍的侧壁形成第二栅极堆叠,沿着第二栅极堆叠的侧壁形成第四间隔件,第四间隔件包括第一碳氧化硅组合物,沿着第四间隔件的侧壁形成第五间隔件,第五间隔件包括第二碳氧化硅组合物,沿着第五间隔件的侧壁形成第六间隔件,第六间隔件包括氮化硅,以及在第二鳍中并且与第六间隔件相邻形成第二外延源极/漏极区域,其中,第二外延源极/漏极区域具有与第一外延源极/漏极区域不同的体积。在实施例中,第一鳍包括硅锗。In an embodiment, a method includes forming a first fin extending from a substrate, forming a first gate stack over the first fin and along sidewalls of the first fin, along sides of the first gate stack The walls form first spacers, the first spacers include a first silicon oxycarbide composition, and along sidewalls of the first spacers form second spacers, the second spacers include a second silicon oxycarbide composition, along Sidewalls of the second spacer form a third spacer, the third spacer includes silicon nitride, and a first epitaxial source/drain region is formed in the first fin adjacent to the third spacer. In an embodiment, the method includes forming a second fin extending from the substrate, forming a second gate stack over and along sidewalls of the second fin, forming a second gate stack along sidewalls of the second gate stack A fourth spacer is formed, the fourth spacer includes a first silicon oxycarbide composition, and a fifth spacer is formed along the sidewall of the fourth spacer, the fifth spacer includes a second silicon oxycarbide composition, and a fifth spacer is formed along the sidewall of the fourth spacer. The sidewalls of the five spacers form a sixth spacer comprising silicon nitride, and a second epitaxial source/drain region is formed in the second fin adjacent to the sixth spacer, wherein the second The epitaxial source/drain region has a different volume than the first epitaxial source/drain region. In an embodiment, the first fin includes silicon germanium.
以上概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的各方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构以实现本文介绍的实施例的相同目的和/或实现本文介绍的实施例的相同优点的基础。本领域技术人员还应该认识到,这样的等同构造不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下在本文中进行各种改变、替换和变更。The foregoing summarizes features of several embodiments so that those skilled in the art may better understand aspects of the present disclosure. It should be appreciated by those skilled in the art that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments described herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.
示例1是一种形成半导体器件的方法,包括:在衬底上方形成第一鳍和第二鳍;在所述第一鳍上方形成第一虚设栅极结构并且在所述第二鳍上方形成第二虚设栅极结构;在所述第一鳍上、所述第二鳍上、所述第一虚设栅极结构上以及所述第二虚设栅极结构上沉积第一层碳氧化硅材料;通过所述第一层碳氧化硅材料将杂质注入到所述第一鳍和所述第二鳍中;在注入杂质之后,在所述第一层碳氧化硅材料上方沉积第二层碳氧化硅材料;在沉积所述第二层碳氧化硅材料之后,对所述第一鳍和所述第二鳍执行湿法清洁工艺;在所述第二鳍和所述第二虚设栅极结构上方形成第一掩模;凹陷与所述第一虚设栅极结构相邻的所述第一鳍,以在所述第一鳍中形成第一凹槽;在凹陷所述第一鳍之后,对所述第一鳍和所述第二鳍执行所述湿法清洁工艺;在所述第一鳍和所述第一虚设栅极结构上方形成第二掩模;凹陷与所述第二虚设栅极结构相邻的所述第二鳍,以在所述第二鳍中形成第二凹槽;以及执行外延工艺以同时形成所述第一凹槽中的第一外延源极/漏极区域和所述第二凹槽中的第二外延源极/漏极区域。Example 1 is a method of forming a semiconductor device, comprising: forming a first fin and a second fin over a substrate; forming a first dummy gate structure over the first fin and forming a first dummy gate structure over the second fin. Two dummy gate structures; depositing a first layer of silicon oxycarbide material on the first fin, on the second fin, on the first dummy gate structure and on the second dummy gate structure; by The first layer of silicon oxycarbide material injects impurities into the first fin and the second fin; after implanting impurities, a second layer of silicon oxycarbide material is deposited on the first layer of silicon oxycarbide material ; after depositing the second layer of silicon oxycarbide material, performing a wet cleaning process on the first fin and the second fin; forming a first fin on the second fin and the second dummy gate structure a mask; recessing the first fin adjacent to the first dummy gate structure to form a first groove in the first fin; after recessing the first fin, for the first fin performing the wet cleaning process on a fin and the second fin; forming a second mask over the first fin and the first dummy gate structure; recessing adjacent to the second dummy gate structure to form a second groove in the second fin; and performing an epitaxial process to simultaneously form a first epitaxial source/drain region in the first groove and the second A second epitaxial source/drain region in the recess.
示例2是示例1所述的方法,还包括:对所述第一层碳氧化硅材料执行各向异性蚀刻工艺以在所述第一虚设栅极结构上形成第一间隔件,并且对所述第二层碳氧化硅材料执行各向异性蚀刻工艺以在所述第二虚设栅极结构上形成第二间隔件。Example 2 is the method of Example 1, further comprising: performing an anisotropic etching process on the first layer of silicon oxycarbide material to form a first spacer on the first dummy gate structure, and performing an anisotropic etching process on the first dummy gate structure, and An anisotropic etching process is performed on the second layer of silicon oxycarbide material to form a second spacer on the second dummy gate structure.
示例3是示例1所述的方法,其中,所述第一层碳氧化硅材料具有与所述第二层碳氧化硅材料相比更高的杂质浓度。Example 3 is the method of example 1, wherein the first layer of silicon oxycarbide material has a higher impurity concentration than the second layer of silicon oxycarbide material.
示例4是示例1所述的方法,其中,所述湿法清洁工艺包括使用硫酸和过氧化氢的经加热的混合物。Example 4 is the method of example 1, wherein the wet cleaning process includes using a heated mixture of sulfuric acid and hydrogen peroxide.
示例5是示例4所述的方法,其中,硫酸和过氧化氢的所述混合物是以2:1和5:1之间的摩尔比进行混合的。Example 5 is the method of Example 4, wherein the mixture of sulfuric acid and hydrogen peroxide is mixed in a molar ratio between 2:1 and 5:1.
示例6是示例4所述的方法,其中,所述经加热的混合物处于80℃和180℃之间的温度。Example 6 is the method of example 4, wherein the heated mixture is at a temperature between 80°C and 180°C.
示例7是示例1所述的方法,还包括:在所述第二层碳氧化硅材料上方形成侧壁间隔件,所述侧壁间隔件包括与所述碳氧化硅材料不同的电介质材料。Example 7 is the method of Example 1, further comprising forming sidewall spacers over the second layer of silicon oxycarbide material, the sidewall spacers comprising a different dielectric material than the silicon oxycarbide material.
示例8是示例1所述的方法,其中,至少两个第一外延源极/漏极区域被合并在一起。Example 8 is the method of example 1, wherein at least two first epitaxial source/drain regions are merged together.
示例9是示例1所述的方法,其中,所述第一凹槽具有第一深度,并且所述第二凹槽具有与所述第一深度不同的第二深度。Example 9 is the method of example 1, wherein the first groove has a first depth and the second groove has a second depth different from the first depth.
示例10是一种形成半导体器件的方法,包括:图案化衬底以形成多个第一鳍和多个第二鳍;在所述多个第一鳍上形成多个第一虚设栅极结构;在所述多个第二鳍上形成多个第二虚设栅极结构;在所述多个第一虚设栅极结构上形成多个第一间隔件结构;在所述多个第二虚设栅极结构上形成多个第二间隔件结构,其中,所述多个第一间隔件结构和所述多个第二间隔件结构包括低k电介质材料;在所述多个第一鳍中形成第一凹槽,包括:执行第一湿法除渣工艺;并且执行第一各向异性蚀刻工艺以在所述多个第一鳍中形成第一凹槽;在所述多个第一鳍中形成所述第一凹槽之后,在所述多个第二鳍中形成第二凹槽,包括:执行第二湿法除渣工艺;并且执行第二各向异性蚀刻工艺以在所述多个第二鳍中形成第二凹槽;以及在所述第一凹槽中外延生长第一源极/漏极结构并且在所述第二凹槽中外延生长第二源极/漏极结构。Example 10 is a method of forming a semiconductor device, comprising: patterning a substrate to form a plurality of first fins and a plurality of second fins; forming a plurality of first dummy gate structures on the plurality of first fins; Forming a plurality of second dummy gate structures on the plurality of second fins; forming a plurality of first spacer structures on the plurality of first dummy gate structures; forming a plurality of first spacer structures on the plurality of second dummy gate structures; Structurally forming a plurality of second spacer structures, wherein the plurality of first spacer structures and the plurality of second spacer structures comprise a low-k dielectric material; forming first fins in the plurality of first fins grooves, comprising: performing a first wet desmear process; and performing a first anisotropic etching process to form first grooves in the plurality of first fins; forming the first grooves in the plurality of first fins; After the first grooves, forming second grooves in the plurality of second fins includes: performing a second wet desmear process; and performing a second anisotropic etching process to form second grooves in the plurality of second fins. forming a second recess in the fin; and epitaxially growing a first source/drain structure in the first recess and epitaxially growing a second source/drain structure in the second recess.
示例11是示例10所述的方法,其中,所述第一源极/漏极结构和所述第二源极/漏极结构是通过相同的外延生长工艺同时形成的。Example 11 is the method of Example 10, wherein the first source/drain structure and the second source/drain structure are formed simultaneously by the same epitaxial growth process.
示例12是示例10所述的方法,其中,所述第一各向异性蚀刻工艺与所述第二各向异性蚀刻工艺不同。Example 12 is the method of example 10, wherein the first anisotropic etching process is different from the second anisotropic etching process.
示例13是示例10所述的方法,其中,所述低k电介质材料是碳氧化硅。Example 13 is the method of example 10, wherein the low-k dielectric material is silicon oxycarbide.
示例14是示例10所述的方法,其中,形成所述多个第一间隔件结构包括:使用第一沉积工艺来沉积第一层低k电介质材料;对所述第一层低k电介质材料执行注入工艺;以及在执行所述注入工艺之后,使用第二沉积工艺来沉积第二层低k电介质材料。Example 14 is the method of Example 10, wherein forming the plurality of first spacer structures comprises: depositing a first layer of low-k dielectric material using a first deposition process; performing on the first layer of low-k dielectric material an implantation process; and after performing the implantation process, depositing a second layer of low-k dielectric material using a second deposition process.
示例15是示例10所述的方法,其中,执行所述第一湿法除渣工艺包括:将硫酸和过氧化氢的混合物加热至80℃和180℃之间的温度。Example 15 is the method of Example 10, wherein performing the first wet deslagging process includes heating a mixture of sulfuric acid and hydrogen peroxide to a temperature between 80°C and 180°C.
示例16是示例10所述的方法,其中,所述第一源极/漏极结构具有与所述第二源极/漏极结构相比更大的体积。Example 16 is the method of example 10, wherein the first source/drain structure has a larger volume than the second source/drain structure.
示例17是示例10所述的方法,其中,与所述第二各向异性蚀刻工艺蚀刻所述多个第二间隔件结构相比,所述第一各向异性蚀刻工艺蚀刻所述多个第一间隔件结构更多。Example 17 is the method of Example 10, wherein the first anisotropic etch process etches the plurality of second spacer structures compared to the second anisotropic etch process etches the plurality of second spacer structures A spacer structure is more.
示例18是一种形成半导体器件的方法,包括:形成从衬底延伸的第一鳍;在所述第一鳍上方并且沿着所述第一鳍的侧壁形成第一栅极堆叠;沿着所述第一栅极堆叠的侧壁形成第一间隔件,所述第一间隔件包括第一碳氧化硅组合物;沿着所述第一间隔件的侧壁形成第二间隔件,所述第二间隔件包括第二碳氧化硅组合物;沿着所述第二间隔件的侧壁形成第三间隔件,所述第三间隔件包括氮化硅;以及在所述第一鳍中并且与所述第三间隔件相邻形成第一外延源极/漏极区域。Example 18 is a method of forming a semiconductor device, comprising: forming a first fin extending from a substrate; forming a first gate stack over the first fin and along sidewalls of the first fin; Sidewalls of the first gate stack form first spacers, the first spacers include a first silicon oxycarbide composition; second spacers are formed along sidewalls of the first spacers, the The second spacer includes a second silicon oxycarbide composition; a third spacer is formed along a sidewall of the second spacer, the third spacer includes silicon nitride; and in the first fin and A first epitaxial source/drain region is formed adjacent to the third spacer.
示例19是示例18所述的方法,还包括:形成从所述衬底延伸的第二鳍;在所述第二鳍上方并且沿着所述第二鳍的侧壁形成第二栅极堆叠;沿着所述第二栅极堆叠的侧壁形成第四间隔件,所述第四间隔件包括所述第一碳氧化硅组合物;沿着所述第四间隔件的侧壁形成第五间隔件,所述第五间隔件包括所述第二碳氧化硅组合物;沿着所述第五间隔件的侧壁形成第六间隔件,所述第六间隔件包括氮化硅;以及在所述第二鳍中并且与所述第六间隔件相邻形成第二外延源极/漏极区域,其中,所述第二外延源极/漏极区域具有与所述第一外延源极/漏极区域不同的体积。Example 19 is the method of example 18, further comprising: forming a second fin extending from the substrate; forming a second gate stack over the second fin and along sidewalls of the second fin; forming a fourth spacer along the sidewall of the second gate stack, the fourth spacer comprising the first silicon oxycarbide composition; forming a fifth spacer along the sidewall of the fourth spacer member, the fifth spacer includes the second silicon oxycarbide composition; a sixth spacer is formed along a sidewall of the fifth spacer, the sixth spacer includes silicon nitride; and in the A second epitaxial source/drain region is formed in the second fin and adjacent to the sixth spacer, wherein the second epitaxial source/drain region has a Different volumes in polar regions.
示例20是示例18所述的方法,其中,所述第一鳍包括硅锗。Example 20 is the method of example 18, wherein the first fin comprises silicon germanium.
Claims (20)
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862738881P | 2018-09-28 | 2018-09-28 | |
US62/738,881 | 2018-09-28 | ||
US16/458,437 | 2019-07-01 | ||
US16/458,437 US11205597B2 (en) | 2018-09-28 | 2019-07-01 | Semiconductor device and method |
Publications (2)
Publication Number | Publication Date |
---|---|
CN110970489A CN110970489A (en) | 2020-04-07 |
CN110970489B true CN110970489B (en) | 2023-05-23 |
Family
ID=69946442
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201910913267.2A Active CN110970489B (en) | 2018-09-28 | 2019-09-25 | Semiconductor device and method of forming semiconductor device |
Country Status (3)
Country | Link |
---|---|
KR (1) | KR102284473B1 (en) |
CN (1) | CN110970489B (en) |
TW (1) | TWI725557B (en) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11410930B2 (en) | 2020-04-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method |
CN113140565B (en) | 2020-04-28 | 2025-01-14 | 台湾积体电路制造股份有限公司 | Semiconductor device and manufacturing method |
TWI769683B (en) * | 2020-04-29 | 2022-07-01 | 台灣積體電路製造股份有限公司 | Semiconductor structure and method of manufacturing thereof |
US11562910B2 (en) * | 2021-03-19 | 2023-01-24 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor structure and method for forming thereof |
Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20050121479A (en) * | 2004-06-22 | 2005-12-27 | 삼성전자주식회사 | Fabricating method of cmos transistor and cmos transistor fabricated by the same method |
DE102004021052B3 (en) * | 2004-04-29 | 2005-12-29 | Infineon Technologies Ag | Method for fabricating trench DRAM memory cells and trench DRAM memory cell array with curved channel bridge field effect transistors (CFET) |
CN102460661A (en) * | 2009-06-08 | 2012-05-16 | 格罗方德半导体公司 | Finfet structures with stress-inducing source/drain-forming spacers and methods for fabricating same |
KR20160063224A (en) * | 2014-11-26 | 2016-06-03 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | Gate spacers and methods of forming |
CN107017297A (en) * | 2015-12-29 | 2017-08-04 | 台湾积体电路制造股份有限公司 | Semiconductor devices and its manufacture method |
TW201820413A (en) * | 2016-11-29 | 2018-06-01 | 台灣積體電路製造股份有限公司 | Method of forming semiconductor device structure |
KR20180060941A (en) * | 2016-11-29 | 2018-06-07 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | Finfet device and methods of forming |
TW201830497A (en) * | 2016-11-29 | 2018-08-16 | 台灣積體電路製造股份有限公司 | Semiconductor device and method of manufacturing same |
Family Cites Families (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7892932B2 (en) * | 2008-03-25 | 2011-02-22 | International Business Machines Corporation | Semiconductor devices having tensile and/or compressive strain and methods of manufacturing and design structure |
US9455200B2 (en) * | 2014-08-11 | 2016-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for semiconductor device fabrication |
KR20160059861A (en) * | 2014-11-19 | 2016-05-27 | 삼성전자주식회사 | Semiconductor device and method for fabricating the same |
US9818872B2 (en) * | 2015-06-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
-
2019
- 2019-09-25 CN CN201910913267.2A patent/CN110970489B/en active Active
- 2019-09-26 TW TW108134817A patent/TWI725557B/en active
- 2019-09-30 KR KR1020190120956A patent/KR102284473B1/en active Active
Patent Citations (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102004021052B3 (en) * | 2004-04-29 | 2005-12-29 | Infineon Technologies Ag | Method for fabricating trench DRAM memory cells and trench DRAM memory cell array with curved channel bridge field effect transistors (CFET) |
KR20050121479A (en) * | 2004-06-22 | 2005-12-27 | 삼성전자주식회사 | Fabricating method of cmos transistor and cmos transistor fabricated by the same method |
CN102460661A (en) * | 2009-06-08 | 2012-05-16 | 格罗方德半导体公司 | Finfet structures with stress-inducing source/drain-forming spacers and methods for fabricating same |
KR20160063224A (en) * | 2014-11-26 | 2016-06-03 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | Gate spacers and methods of forming |
CN107017297A (en) * | 2015-12-29 | 2017-08-04 | 台湾积体电路制造股份有限公司 | Semiconductor devices and its manufacture method |
TW201820413A (en) * | 2016-11-29 | 2018-06-01 | 台灣積體電路製造股份有限公司 | Method of forming semiconductor device structure |
KR20180060941A (en) * | 2016-11-29 | 2018-06-07 | 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 | Finfet device and methods of forming |
TW201830497A (en) * | 2016-11-29 | 2018-08-16 | 台灣積體電路製造股份有限公司 | Semiconductor device and method of manufacturing same |
Also Published As
Publication number | Publication date |
---|---|
CN110970489A (en) | 2020-04-07 |
KR20200037110A (en) | 2020-04-08 |
TW202025261A (en) | 2020-07-01 |
TWI725557B (en) | 2021-04-21 |
KR102284473B1 (en) | 2021-08-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11854811B2 (en) | FinFET device and method of forming | |
CN110957221B (en) | Semiconductor devices and methods of forming the same | |
US20230113320A1 (en) | Semiconductor Device and Method | |
CN107275281B (en) | Self-aligned contact scheme, semiconductor structure and forming method thereof | |
US11205597B2 (en) | Semiconductor device and method | |
CN110556424B (en) | Semiconductor device and method of manufacturing semiconductor device | |
US12230692B2 (en) | Self-aligned inner spacer on gate-all-around structure and methods of forming the same | |
US20220359206A1 (en) | Cut metal gate refill with void | |
US11031298B2 (en) | Semiconductor device and method | |
CN110970489B (en) | Semiconductor device and method of forming semiconductor device | |
CN110010470A (en) | Semiconductor devices and forming method thereof | |
US12009406B2 (en) | FinFET device and method | |
US20220352371A1 (en) | Semiconductor Device and Method | |
TWI801859B (en) | Semiconductor device and method for forming the same | |
US11942523B2 (en) | Semiconductor devices and methods of forming the same | |
TW202143300A (en) | Semiconductor device and method of fabricating the same | |
US12087775B2 (en) | Gate structures in transistor devices and methods of forming same | |
KR20220154598A (en) | Semiconductor device and method of manufacture | |
CN114551578A (en) | Semiconductor device and method of forming the same | |
TWI795774B (en) | Gapfill structure and manufacturing methods thereof | |
TWI873637B (en) | Semiconductor device and forming method thereof | |
TWI787817B (en) | Manufacture method of semiconductor device | |
CN115763520A (en) | Semiconductor device and method of forming the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |