[go: up one dir, main page]

CN110660845A - Manufacturing method of semiconductor structure - Google Patents

Manufacturing method of semiconductor structure Download PDF

Info

Publication number
CN110660845A
CN110660845A CN201910419201.8A CN201910419201A CN110660845A CN 110660845 A CN110660845 A CN 110660845A CN 201910419201 A CN201910419201 A CN 201910419201A CN 110660845 A CN110660845 A CN 110660845A
Authority
CN
China
Prior art keywords
layer
source
drain
germanium
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910419201.8A
Other languages
Chinese (zh)
Inventor
时定康
蔡邦彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/216,359 external-priority patent/US11410890B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110660845A publication Critical patent/CN110660845A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/20Electrodes characterised by their shapes, relative sizes or dispositions 
    • H10D64/23Electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. sources, drains, anodes or cathodes
    • H10D64/251Source or drain electrodes for field-effect devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/01Manufacture or treatment
    • H10D30/021Manufacture or treatment of FETs having insulated gates [IGFET]
    • H10D30/024Manufacture or treatment of FETs having insulated gates [IGFET] of fin field-effect transistors [FinFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D30/00Field-effect transistors [FET]
    • H10D30/60Insulated-gate field-effect transistors [IGFET]
    • H10D30/62Fin field-effect transistors [FinFET]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D62/00Semiconductor bodies, or regions thereof, of devices having potential barriers
    • H10D62/80Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials
    • H10D62/83Semiconductor bodies, or regions thereof, of devices having potential barriers characterised by the materials being Group IV materials, e.g. B-doped Si or undoped Ge
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10DINORGANIC ELECTRIC SEMICONDUCTOR DEVICES
    • H10D64/00Electrodes of devices having potential barriers
    • H10D64/60Electrodes characterised by their materials
    • H10D64/62Electrodes ohmically coupled to a semiconductor

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A method of fabricating a semiconductor structure includes providing a p-type source/drain epitaxial feature and an n-type source/drain epitaxial feature, forming a layer of semiconductor material over the n-type source/drain epitaxial feature and the p-type source/drain epitaxial feature, treating the layer of semiconductor material with a germanium-containing gas, wherein the processing of the layer of semiconductor material forms a germanium-containing layer over the layer of semiconductor material, etches the germanium-containing layer, wherein the etching of the ge-containing layer removes the ge-containing layer formed over the n-type source/drain epitaxial features and the layer of semiconductor material formed over the p-type source/drain epitaxial features, and forming a first source/drain contact over the layer of semiconductor material remaining over the n-type source/drain epitaxial feature and a second source/drain contact over the p-type source/drain epitaxial feature. The composition of the layer of semiconductor material may be similar to the composition of the n-type source/drain epitaxial features.

Description

半导体结构的制造方法Manufacturing method of semiconductor structure

技术领域technical field

本发明实施例涉及半导体制造技术,特别涉及具有降低的接触电阻的源极/漏极接触件的半导体结构及其制造方法。Embodiments of the present invention relate to semiconductor fabrication techniques, and more particularly, to semiconductor structures with reduced contact resistance source/drain contacts and methods of fabricating the same.

背景技术Background technique

半导体集成电路(integrated circuit,IC)产业已历经快速成长。集成电路的材料和设计上的技术进展已经产生了数个世代的集成电路,每一世代皆较前一世代具有更小且更复杂的电路。在集成电路演进的历程中,当几何尺寸(亦即使用生产工艺可以产生的最小元件(或线))缩减时,功能密度(亦即单位芯片面积的内连接装置数量)通常也增加。这种尺寸微缩的工艺通常通过提高生产效率及降低相关成本而提供一些效益。这样的尺寸微缩也增加了加工和制造集成电路的复杂度。The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in integrated circuit materials and design have produced several generations of integrated circuits, each generation having smaller and more complex circuits than the previous generation. Over the course of integrated circuit evolution, functional density (ie, the number of interconnect devices per chip area) typically increases as geometry size (ie, the smallest element (or line) that can be produced using a manufacturing process) shrinks. This scale-down process typically provides some benefits by increasing production efficiency and reducing associated costs. Such scaling also increases the complexity of processing and fabricating integrated circuits.

举例来说,已经在制造具有改善效能的集成电路装置方面做出了努力,包含降低源极/漏极部件与在源极/漏极部件上形成的接触件之间的界面处的电阻。虽然达到这种降低电阻的方法通常已经足够,但它们并非在所有面向皆令人满意。在一些情况下,这些方法通常可能包含复杂的处理步骤(因此增加生产成本),并且可能不想要地使集成电路装置受到热损坏。由于这些和其他原因,需要此方面的改善。For example, efforts have been made to fabricate integrated circuit devices with improved performance, including reducing resistance at the interface between source/drain features and contacts formed on the source/drain features. While such methods of reducing resistance are often adequate, they are not satisfactory in all respects. In some cases, these methods often may involve complex processing steps (thus increasing production costs) and may undesirably thermally damage the integrated circuit device. For these and other reasons, improvements in this area are desired.

发明内容SUMMARY OF THE INVENTION

根据本发明的一些实施例,提供半导体结构的制造方法。此方法包含:提供在第一温度形成的p型源极/漏极外延部件和n型源极/漏极外延部件;在第二温度形成半导体材料层于n型源极/漏极外延部件和p型源极/漏极外延部件上方,其中半导体材料层的组成类似于n型源极/漏极外延部件的组成,且其中第二温度小于第一温度;以含锗气体处理半导体材料层,其中半导体材料层的处理在半导体材料层上方形成含锗层;蚀刻含锗层,其中含锗层的蚀刻移除形成于n型源极/漏极外延部件上方的含锗层和形成于p型源极/漏极外延部件上方的半导体材料层;以及在留在n型源极/漏极外延部件上方的半导体材料层上方形成第一源极/漏极接触件和在p型源极/漏极外延部件上方形成第二源极/漏极接触件。According to some embodiments of the present invention, methods of fabricating semiconductor structures are provided. The method includes: providing p-type source/drain epitaxial features and n-type source/drain epitaxial features formed at a first temperature; forming a layer of semiconductor material on the n-type source/drain epitaxial features and at a second temperature over the p-type source/drain epitaxial feature, wherein the composition of the semiconductor material layer is similar to that of the n-type source/drain epitaxial feature, and wherein the second temperature is less than the first temperature; treating the semiconductor material layer with a germanium-containing gas, wherein the processing of the semiconductor material layer forms a germanium-containing layer over the semiconductor material layer; the germanium-containing layer is etched, wherein the etching of the germanium-containing layer removes the germanium-containing layer formed over the n-type source/drain epitaxial features and the germanium-containing layer formed over the p-type a layer of semiconductor material over the source/drain epitaxial feature; and forming a first source/drain contact over the layer of semiconductor material remaining over the n-type source/drain epitaxial feature and over the p-type source/drain A second source/drain contact is formed over the epitaxial feature.

根据本发明的另一些实施例,提供半导体结构的制造方法。此方法包含在层间介电层中形成第一沟槽和第二沟槽,以分别露出形成于第一鳍片上方的第一源极/漏极外延部件和形成于第二鳍片上方的第二源极/漏极外延部件,其中第一源极/漏极外延部件是n型且第二源极/漏极外延部件是p型;在第一沟槽和第二沟槽中沉积n型半导体层;在n型半导体层上方形成含锗层;从第一沟槽移除含锗层,其中此移除步骤从第二沟槽移除n型半导体层;在第一沟槽中的n型半导体层上方和在第二沟槽中的第二源极/漏极外延部件上方形成硅化物层;以及在第一沟槽和第二沟槽中的硅化物层上方分别形成源极/漏极接触件。According to further embodiments of the present invention, methods of fabricating semiconductor structures are provided. The method includes forming a first trench and a second trench in the interlayer dielectric layer to expose a first source/drain epitaxial feature formed over the first fin and a first source/drain epitaxial feature formed over the second fin, respectively a second source/drain epitaxial feature, wherein the first source/drain epitaxial feature is n-type and the second source/drain epitaxial feature is p-type; depositing n in the first and second trenches type semiconductor layer; forming a germanium-containing layer over the n-type semiconductor layer; removing the germanium-containing layer from the first trench, wherein the removing step removes the n-type semiconductor layer from the second trench; forming silicide layers over the n-type semiconductor layer and over the second source/drain epitaxial features in the second trenches; and forming source/drain layers over the silicide layers in the first trenches and the second trenches, respectively drain contact.

根据本发明的又另一些实施例,提供半导体结构。此半导体结构包含第一导电类型的第一源极/漏极外延部件设置于半导体层中,第一源极/漏极外延部件具有第一电阻;第二导电类型的第二源极/漏极外延部件设置于半导体层中,第二导电类型不同于第一导电类型,其中第一源极/漏极和第二源极/漏极外延部件设置成邻近第一源极/漏极和第二源极/漏极外延部件各自的金属栅极结构;至少一外延半导体材料层设置于第一源极/漏极外延部件上方,其中所述至少一外延半导体材料层具有第二电阻,第二电阻低于第一电阻;以及第一源极/漏极接触件和第二源极/漏极接触件分别设置于所述至少一外延半导体材料层上方和第二源极/漏极外延部件上方,其中第二源极/漏极接触件的底表面低于第一源极/漏极接触件的底表面。According to yet other embodiments of the present invention, semiconductor structures are provided. The semiconductor structure includes a first source/drain epitaxial component of a first conductivity type disposed in the semiconductor layer, the first source/drain epitaxial component has a first resistance; a second source/drain electrode of the second conductivity type Epitaxial features are disposed in the semiconductor layer, the second conductivity type is different from the first conductivity type, wherein the first source/drain and the second source/drain epitaxial features are disposed adjacent to the first source/drain and the second The respective metal gate structures of the source/drain epitaxial components; at least one epitaxial semiconductor material layer is disposed above the first source/drain epitaxial component, wherein the at least one epitaxial semiconductor material layer has a second resistance, the second resistance lower than a first resistance; and a first source/drain contact and a second source/drain contact are respectively disposed over the at least one epitaxial semiconductor material layer and over the second source/drain epitaxial component, Wherein the bottom surface of the second source/drain contact is lower than the bottom surface of the first source/drain contact.

附图说明Description of drawings

通过以下的详细描述配合说明书附图,可以更加理解本发明实施例的内容。需强调的是,根据产业上的标准惯例,许多部件(feature)并未按照比例绘制。事实上,为了能清楚地讨论,各种部件的尺寸可能被任意地增加或减少。The content of the embodiments of the present invention can be better understood through the following detailed description in conjunction with the accompanying drawings. It is emphasized that, in accordance with standard industry practice, many features are not drawn to scale. In fact, the dimensions of the various components may be arbitrarily increased or decreased for clarity of discussion.

图1A和图1B是根据本发明实施例的不同面向的工件的制造方法的流程图。1A and 1B are flowcharts of methods of manufacturing different oriented workpieces according to embodiments of the present invention.

图2是根据本发明实施例的不同面向的工件的俯视示意图。2 is a schematic top view of a workpiece with different orientations according to an embodiment of the present invention.

图3、图4、图5、图6A、图7A、图8、图9、图10和图11是根据本发明实施例的不同面向的沿着图2的虚线AA’和BB’截取的工件的制造方法的中间阶段的剖面示意图。Figures 3, 4, 5, 6A, 7A, 8, 9, 10 and 11 are workpieces taken along dashed lines AA' and BB' of Figure 2 according to different aspects of an embodiment of the present invention Cross-sectional schematic diagram of the intermediate stage of the fabrication method.

图6B根据本发明实施例的不同面向示出在制造方法的中间阶段期间的图6A的工件的一部分。6B shows a portion of the workpiece of FIG. 6A during an intermediate stage of a manufacturing method, according to various aspects of an embodiment of the present invention.

图6C和图6D根据本发明实施例的不同面向示出在制造方法的中间阶段期间的图6A的工件的一部分的浓度轮廓。6C and 6D illustrate concentration profiles of a portion of the workpiece of FIG. 6A during an intermediate stage of a manufacturing method, according to different aspects of an embodiment of the present invention.

图7B根据本发明实施例的不同面向示出在制造方法的中间阶段期间的图7A的工件的一部分。7B shows a portion of the workpiece of FIG. 7A during an intermediate stage of a manufacturing method, according to various aspects of an embodiment of the present invention.

附图标记说明:Description of reference numbers:

100~方法;100 ~ method;

102、104、106、108、110、112、114、116~方框;102, 104, 106, 108, 110, 112, 114, 116-block;

200~工件;200~Workpiece;

202~基底;202~substrate;

204A、204B~鳍片;204A, 204B ~ fins;

208~隔离部件;208~Isolation parts;

210A、210B~装置区;210A, 210B ~ installation area;

212~栅极间隔物;212~gate spacer;

214、216~源极/漏极部件;214, 216 ~ source/drain components;

218、240~层间介电层;218, 240 ~ interlayer dielectric layer;

220A、220B~金属栅极结构;220A, 220B ~ metal gate structure;

222~界面层;222~Interface layer;

224~栅极介电层;224~gate dielectric layer;

226~功函数金属层;226~work function metal layer;

228~块状导电层;228~block conductive layer;

230~部分;230 ~ part;

242、244~沟槽;242, 244 ~ groove;

250、260~气体混合物;250, 260 ~ gas mixture;

252~n型半导体层(SiP层);252~n-type semiconductor layer (SiP layer);

254~SiPGe层;254~SiPGe layer;

256~无锗区(SiP层);256 ~ germanium-free region (SiP layer);

262~含锗层;262 ~ germanium-containing layer;

270~含氯气体;270~chlorine gas;

280~金属层;280~metal layer;

282~硅化物层;282 ~ silicide layer;

292~导电材料;292~conductive material;

294~源极/漏极接触件;294 ~ source/drain contacts;

302、304、306、308~浓度轮廓;302, 304, 306, 308 ~ concentration profile;

AA’、BB’~虚线;AA', BB'~dotted line;

TSiP~厚度;T SiP ~ thickness;

X、Y~方向。X, Y ~ direction.

具体实施方式Detailed ways

以下内容提供了许多不同的实施例或范例,用于实施本发明实施例的不同部件。组件和配置的具体范例描述如下,以简化本发明实施例。当然,这些仅仅是范例,并非用以限定本发明实施例。举例来说,叙述中若提及第一部件形成于第二部件上或上方,可能包含形成第一和第二部件直接接触的实施例,也可能包含额外的部件形成于第一和第二部件之间,使得第一和第二部件不直接接触的实施例。此外,本发明实施例在不同范例中可重复使用参考数字及/或字母,此重复是为了简化和清楚的目的,并非代表所讨论的不同实施例及/或组态之间有特定的关系。The following provides many different embodiments or examples for implementing different components of embodiments of the invention. Specific examples of components and configurations are described below to simplify embodiments of the invention. Of course, these are only examples, and are not intended to limit the embodiments of the present invention. For example, references in the description that the first part is formed on or over the second part may include embodiments in which the first and second parts are in direct contact, and may also include additional parts formed on the first and second parts between, so that the first and second parts are not in direct contact with each other. In addition, the embodiments of the present invention may reuse reference numerals and/or letters in different examples, the repetition is for the purpose of simplicity and clarity, and does not represent a specific relationship between the different embodiments and/or configurations discussed.

此外,本发明实施例可以在不同范例中重复参考数字及/或字母。此重复是为了简单和清楚的目的,并且此重复本身不表示所讨论的各种实施例及/或配置之间的关系。此外,在随后的本发明实施例中形成一部件于另一部件上、此部件连接及/或耦合至另一部件可能包含形成这些部件直接接触的实施例,也可能包含形成额外的部件插入这些部件之间,使得这些部件不直接接触的实施例。此外,空间相对用语,例如“较低的”、“较高的”、“水平”、“垂直”、“之上”、“上方”、“之下”、“下方”、“向上”、“向下”、“顶部”、“底部”等及其衍生的用语(例如“水平地”、“向下地”、“向上地”等)用于简化本发明实施例的一些部件与另一些部件的关系。空间相对用语用于涵盖包含部件的装置的不同取向。另外,当使用“约”、“近似”和类似的用语描述数字或数字范围时,此用语用于涵盖在合理范围的数字,包含所描述的数字,例如在所描述的数字的+/-10%内或本公开所属技术领域中技术人员所理解的其他数值。举例来说,用语“约5纳米”涵盖4.5纳米至5.5纳米的尺寸范围。Furthermore, embodiments of the present invention may repeat reference numerals and/or letters in different instances. This repetition is for the purpose of simplicity and clarity, and does not in itself represent a relationship between the various embodiments and/or configurations discussed. Additionally, subsequent embodiments of the invention may include forming a component on another component, connecting and/or coupling this component to another component, may include forming embodiments in which these components are in direct contact, or may include forming additional components that are inserted into these components. between components so that these components are not in direct contact. In addition, spatially relative terms such as "lower", "higher", "horizontal", "vertical", "above", "above", "below", "below", "upper", " "Down," "top," "bottom," etc. and their derivatives (eg, "horizontally", "downwardly," "upwardly," etc.) are used to simplify the separation of some components from other components of the relation. Spatially relative terms are used to encompass different orientations of a device containing a component. In addition, when "about," "approximately," and similar terms are used to describe numbers or ranges of numbers, such terms are used to encompass numbers within a reasonable range, including the number described, eg, within +/- 10 of the number described % or other values understood by those skilled in the art to which this disclosure belongs. For example, the term "about 5 nanometers" covers a size range of 4.5 nanometers to 5.5 nanometers.

本发明实施例整体关于半导体装置及其制造方法。更具体而言,一些实施例关于在场效晶体管(field effect transistors,FETs)中形成源极和漏极(source and drain,S/D)接触件,场效晶体管例如平面或三维(鳍状)场效晶体管,场效晶体管包含n型场效晶体管(n-type FET或NFET)区和p型场效晶体管(p-type FET或PFET)区。此外,此公开的方法提供一种形成具有降低的接触电阻的源极/漏极接触件的方法,其通过在源极/漏极部件和源极/漏极接触件之间形成低电阻外延半导体层,特别是在n型场效晶体管区中。在一些实施例中,n型外延半导体层选择性地形成于n型场效晶体管的源极/漏极部件上方而不在p型场效晶体管的源极/漏极部件上方,n型外延半导体层包含例如以磷或砷掺杂的硅或碳硅。可以通过一工艺(例如较低的工艺温度)形成在此公开的n型外延半导体层,此工艺不同于形成n型外延源极/漏极部件的工艺,产生改善的电性。在至少一些实施例中,通过使用此公开的方法选择性地形成n型外延半导体层,可以减少在装置制造工艺期间引起的热损坏、工艺复杂性和生产成本,并且可以提升装置效能。Embodiments of the present invention generally relate to semiconductor devices and methods of fabricating the same. More specifically, some embodiments relate to forming source and drain (S/D) contacts in field effect transistors (FETs), such as planar or three-dimensional (fin) fields The field effect transistor includes an n-type field effect transistor (n-type FET or NFET) region and a p-type field effect transistor (p-type FET or PFET) region. Additionally, the disclosed method provides a method of forming source/drain contacts with reduced contact resistance by forming a low resistance epitaxial semiconductor between the source/drain features and the source/drain contacts layer, especially in the n-type field effect transistor region. In some embodiments, the n-type epitaxial semiconductor layer is selectively formed over the source/drain features of the n-type field effect transistor and not over the source/drain features of the p-type field effect transistor, the n-type epitaxial semiconductor layer Contains, for example, silicon or silicon carbon doped with phosphorus or arsenic. The n-type epitaxial semiconductor layers disclosed herein can be formed by a process (eg, lower process temperature) that is different from the process used to form the n-type epitaxial source/drain features, resulting in improved electrical properties. In at least some embodiments, by selectively forming n-type epitaxial semiconductor layers using the methods disclosed herein, thermal damage, process complexity, and production costs incurred during device fabrication processes can be reduced, and device performance can be improved.

图1A~图1B示出用于制造具有不同场效晶体管的工件(也称为半导体结构)200的方法100的流程图。参照图2至图11的描述方法100;其中,根据本发明实施例,图2是工件200的俯视示意图,图3~图11是在方法100的中间阶段的分别沿着穿过鳍片204A的虚线AA’和穿过鳍片204B的虚线BB’的工件200(或工件200的部分230)的剖面示意图。出于例示性目的,在图3~图11中并列示出包含鳍片204A的剖面示意图的装置区210A和包含鳍片204B的剖面示意图的装置区210B。方法100仅是范例,并非用于将本发明实施例限制于在此明确描述的内容。可以在方法100之前、期间及之后提供额外步骤,并且对于方法100的其他实施例而言,可以取代或消除在此描述的一些步骤。1A-1B illustrate a flow diagram of a method 100 for fabricating a workpiece (also referred to as a semiconductor structure) 200 having different field effect transistors. The method 100 is described with reference to FIGS. 2 to 11 ; wherein, according to an embodiment of the present invention, FIG. 2 is a schematic top view of the workpiece 200 , and FIGS. 3 to 11 are respectively along the lines passing through the fins 204A in the intermediate stages of the method 100 . A schematic cross-sectional view of workpiece 200 (or portion 230 of workpiece 200 ) through dashed line AA' and dashed line BB' through fin 204B. For illustrative purposes, a device region 210A including a schematic cross-sectional view of fins 204A and a device region 210B including a schematic cross-sectional view of fins 204B are shown side-by-side in FIGS. 3-11 . The method 100 is merely an example, and is not intended to limit embodiments of the invention to what is expressly described herein. Additional steps may be provided before, during, and after method 100 , and for other embodiments of method 100 , some of the steps described herein may be replaced or eliminated.

首先参照图1的方框102和图2~图3,方法100提供工件200(或以工件200提供),工件200包含从基底202突出并沿X方向纵向取向的鳍片204A和204B。鳍片204A和204B的底部由设置在基底202上方的隔离部件208隔开。工件200还包含沿Y方向纵向取向的金属栅极结构220A和220B,形成具有源极/漏极部件214和216分别设置于鳍片204A和204B上方的不同场效晶体管。在示出的实施例中,工件200还包含设置在隔离部件208、鳍片204A和204B以及源极/漏极部件214和216上方的层间介电(interlayer dielectric,ILD)层218。虽然描述三维结构或鳍片用于形成各种鳍式场效晶体管的主动区,但本发明实施例不限于此。举例来说,鳍片204A和204B可以被称为用于形成平面场效晶体管的半导体层。出于例示性目的,本发明实施例将继续以鳍片204A和204B作为示例主动区。虽然在此未示出,但工件200可以包含许多部件,例如设置于隔离部件208、鳍片204A和204B、金属栅极结构220A和220B以及源极/漏极部件214和216上方的接触蚀刻停止层(contact etch-stop layer,CESL)。以下详细讨论工件200的不同部件。Referring first to block 102 of FIG. 1 and FIGS. 2-3 , method 100 provides (or is provided in) a workpiece 200 including fins 204A and 204B protruding from substrate 202 and oriented longitudinally in the X direction. The bottoms of fins 204A and 204B are separated by spacer members 208 disposed over substrate 202 . Workpiece 200 also includes metal gate structures 220A and 220B oriented longitudinally in the Y direction, forming different field effect transistors having source/drain features 214 and 216 disposed over fins 204A and 204B, respectively. In the illustrated embodiment, workpiece 200 also includes an interlayer dielectric (ILD) layer 218 disposed over isolation features 208 , fins 204A and 204B, and source/drain features 214 and 216 . Although three-dimensional structures or fins are described for forming active regions of various fin field effect transistors, embodiments of the present invention are not limited thereto. For example, fins 204A and 204B may be referred to as semiconductor layers used to form planar field effect transistors. For illustrative purposes, embodiments of the present invention will continue to use fins 204A and 204B as example active regions. Although not shown here, workpiece 200 may include a number of features, such as contact etch stops disposed over isolation features 208 , fins 204A and 204B, metal gate structures 220A and 220B, and source/drain features 214 and 216 layer (contact etch-stop layer, CESL). The different components of workpiece 200 are discussed in detail below.

基底202可以包含元素(单一元素)半导体,例如晶体结构中的硅及/或晶体结构中的锗;化合物半导体,例如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟;合金半导体,例如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或前述的组合。基底202可以是具有均一组成的单层材料。或者,基底202可以包含具有适用于集成电路装置制造的相似或不同组成的多层材料层。在一范例中,基底202可以是绝缘体上覆硅(silicon-on-insulator,SOI)基底,其具有在氧化硅层上形成的半导体硅层。The substrate 202 may comprise elemental (single element) semiconductors such as silicon in a crystal structure and/or germanium in a crystal structure; compound semiconductors such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and/or Or indium antimonide; alloy semiconductors such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and/or GaInAsP; or a combination of the foregoing. The substrate 202 may be a single layer of material having a uniform composition. Alternatively, substrate 202 may comprise multiple layers of materials having similar or different compositions suitable for integrated circuit device fabrication. In one example, the substrate 202 may be a silicon-on-insulator (SOI) substrate having a semiconductor silicon layer formed on a silicon oxide layer.

在基底202包含场效晶体管的一些实施例中,在基底202中或基底202上形成各种掺杂区,例如源极/漏极区。取决于设计需求,可以用n型掺质(例如磷或砷)及/或p型掺质(例如硼)掺杂掺杂区。掺杂区可以直接形成于基底202上、p井结构中、n井结构中、双井(dual-well)结构中或使用凸起结构。掺杂区的形成可以通过布植掺质原子、原位(in-situ)掺杂外延成长及/或其他合适的技术。In some embodiments where substrate 202 includes field effect transistors, various doped regions, such as source/drain regions, are formed in or on substrate 202 . Depending on design requirements, the doped regions may be doped with n-type dopants (eg, phosphorus or arsenic) and/or p-type dopants (eg, boron). The doped regions can be formed directly on the substrate 202, in a p-well structure, in an n-well structure, in a dual-well structure, or using a bump structure. The doped regions can be formed by implanting dopant atoms, in-situ doped epitaxial growth, and/or other suitable techniques.

包含鳍片204A的装置区210A可适用于形成n型鳍式场效晶体管,以及包含鳍片204B的装置区210B可适用于形成p型鳍式场效晶体管。此配置仅用于例示性目的,并非用于限制本发明实施例。可以使用包含微影和蚀刻工艺的合适工艺来制造鳍片204A和204B。微影工艺可包含形成覆盖基底202的光刻胶(phtoresist)层(阻剂(resist);未示出),将光刻胶暴露于图案,进行曝光后烘烤(post-exposure bake)工艺,以及显影光刻胶以形成包含光刻胶的遮罩元件(未示出)。然后使用遮罩元件将凹槽蚀刻至基底202中,留下基底202上的鳍片204A和204B。蚀刻工艺可以包含干式蚀刻、湿式蚀刻、反应离子蚀刻(reactive ionetching,RIE)及/或其他合适的工艺。Device region 210A including fins 204A may be suitable for forming n-type finFETs, and device region 210B including fins 204B may be suitable for forming p-type finFETs. This configuration is for illustrative purposes only, and is not intended to limit embodiments of the present invention. Fins 204A and 204B may be fabricated using suitable processes including lithography and etching processes. The lithography process may include forming a photoresist layer (resist; not shown) overlying the substrate 202, exposing the photoresist to the pattern, performing a post-exposure bake process, and developing the photoresist to form a mask element (not shown) including the photoresist. The recesses are then etched into the substrate 202 using a masking element, leaving the fins 204A and 204B on the substrate 202. The etching process may include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes.

用于形成鳍片204A和204B的方法的许多其他实施例可能是合适的。举例来说,可以使用双重图案化(double-patterning)或多重图案化(multi-patterning)工艺将鳍片204A和204B图案化。通常而言,双重图案化或多重图案化工艺结合微影和自对准工艺,举例来说,其允许产生的图案的节距(pitches)小于使用单一、直接的微影工艺可获得的间距。举例来说,在一实施例中,在基底上形成牺牲层并使用微影工艺将牺牲层图案化。使用自对准工艺在图案化的牺牲层旁边形成间隔物。然后移除牺牲层,接着可以使用剩余的间隔物或心轴(mandrels)将鳍片图案化。Many other embodiments of methods for forming fins 204A and 204B may be suitable. For example, the fins 204A and 204B may be patterned using a double-patterning or multi-patterning process. Typically, double-patterning or multi-patterning processes combine lithography and self-alignment processes, which, for example, allow patterns to be produced with pitches smaller than that achievable using a single, direct lithography process. For example, in one embodiment, a sacrificial layer is formed on a substrate and patterned using a lithography process. Spacers are formed next to the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the fins can then be patterned using the remaining spacers or mandrels.

隔离部件208可以包含氧化硅、氮化硅、氮氧化硅、氟化物掺杂的硅酸盐玻璃(fluoride-doped silicate glass,FSG)、低介电常数介电材料及/或其他合适的绝缘材料。隔离部件208可以是浅沟槽隔离(shallow trench isolation,STI)部件。在一实施例中,通过在形成鳍片204A和204B期间蚀刻基底202中的沟槽来形成隔离部件208。然后可以用上述隔离材料填充沟槽,接着进行化学机械平坦化(chemical mechanicalplanarization,CMP)工艺。隔离部件208也可以使用其他隔离结构,例如场氧化物、硅的局部氧化(local oxidation of silicon,LOCOS)及/或其他合适结构。隔离部件208可以包含多层结构,举例来说,具有一或多个热氧化物衬层(liner layers)。Isolation features 208 may include silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), low-k dielectric materials, and/or other suitable insulating materials . The isolation features 208 may be shallow trench isolation (STI) features. In one embodiment, isolation features 208 are formed by etching trenches in substrate 202 during formation of fins 204A and 204B. The trenches may then be filled with the isolation material described above, followed by a chemical mechanical planarization (CMP) process. The isolation features 208 may also use other isolation structures, such as field oxide, local oxidation of silicon (LOCOS), and/or other suitable structures. The isolation feature 208 may comprise a multi-layer structure, for example, with one or more thermal oxide liner layers.

源极/漏极部件214和216分别设置于鳍片204A和204B中,每个鳍片204A和204B邻近金属栅极结构220A和220B。虽然仅示出一个源极/漏极部件214和一个源极/漏极部件216,但可以提供多个源极/漏极部件214邻近装置区210A中的金属栅极结构220A和220B并且可以提供多个源极/漏极部件216邻进装置区210B中的金属栅极结构220A和220B。每一个源极/漏极部件214和216可适用于p型鳍式场效晶体管装置(例如p型导电类型外延材料)或者n型鳍式场效晶体管装置(例如n型导电类型外延材料)。在整个本发明实施例中,“p型”是指以p型掺质掺杂的半导体材料,p型掺质例如硼、铟、其他p型掺质或前述的组合,半导体材料例如硅锗,以及“n型”是指以n型掺质掺杂的半导体材料,n型掺质例如磷、砷、其他n型掺质或前述的组合,半导体材料例如碳或碳硅。Source/drain features 214 and 216 are disposed in fins 204A and 204B, respectively, each fin 204A and 204B adjacent to metal gate structures 220A and 220B. Although only one source/drain feature 214 and one source/drain feature 216 are shown, multiple source/drain features 214 may be provided adjacent to metal gate structures 220A and 220B in device region 210A and may provide A plurality of source/drain features 216 adjoin metal gate structures 220A and 220B in device region 210B. Each of the source/drain features 214 and 216 may be suitable for use in a p-type finFET device (eg, p-type conductivity type epitaxial material) or an n-type finFET device (eg, n-type conductivity type epitaxial material). Throughout the present embodiments, "p-type" refers to semiconductor materials doped with p-type dopants such as boron, indium, other p-type dopants or combinations of the foregoing, semiconductor materials such as silicon germanium, And "n-type" refers to a semiconductor material doped with n-type dopants such as phosphorus, arsenic, other n-type dopants, or combinations of the foregoing, such as carbon or carbon silicon.

在示出的实施例中,源极/漏极部件214适合形成n型鳍式场效晶体管装置,以及源极/漏极部件216适合形成p型鳍式场效晶体管装置。n型外延材料可以包含一或多个硅(epiSi)或碳硅(epi SiC)的外延层,其中以上述的n型掺质掺杂硅或碳硅。p型外延材料可以包含一或多个半导体材料的外延层,例如硅锗(epi SiGe)、硅锗碳(epi SiGeC)、锗(epi Ge),其中以上述的p型掺质掺杂半导体材料。虽然源极/漏极部件214和216被示出成六边形,但本发明实施例不限于此。举例来说,源极/漏极部件214和216可以采用其他几何形状,例如菱形。In the illustrated embodiment, the source/drain features 214 are suitable for forming n-type FinFET devices, and the source/drain features 216 are suitable for forming p-type FinFET devices. The n-type epitaxial material may comprise one or more epitaxial layers of silicon (epiSi) or silicon carbon (epi SiC) doped with the n-type dopants described above. The p-type epitaxial material may comprise one or more epitaxial layers of semiconductor material, such as silicon germanium (epi SiGe), silicon germanium carbon (epi SiGeC), germanium (epi Ge), wherein the semiconductor material is doped with the aforementioned p-type dopants . Although the source/drain features 214 and 216 are shown as hexagonal, embodiments of the present invention are not so limited. For example, source/drain features 214 and 216 may take other geometries, such as diamond shapes.

源极/漏极部件214和216的形成可以通过任何合适的技术,例如蚀刻工艺,然后是一或多个外延工艺。在一范例中,进行一或多个蚀刻工艺以移除鳍片204A和204B的部分,以分别在这些部分中形成凹槽(未示出)。可以用氢氟酸(HF)溶液或其他合适的溶液进行清洁工艺以清洁凹槽。随后,可以进行一或多个外延成长(例如掺杂)工艺,例如原位掺杂工艺、离子布植工艺、扩散工艺、其他工艺或前述的组合,以在凹槽中形成外延部件。在一些实施例中,进行选择性外延成长(selective epitaxial growth,SEG)工艺以成长外延材料,选择性外延成长是原位掺杂工艺,在选择性外延成长工艺期间将掺质(例如用于n型外延材料的磷或用于p型外延材料的硼)导入半导体材料(例如硅或硅锗)(例如通过将掺质添加到选择性外延成长工艺的源极材料中)。选择性外延成长工艺的实施可以通过任何沉积技术,例如化学气相沉积(chemical vapor deposition,CVD)、物理气相沉积(physical vapordeposition,PVD)、原子层沉积(atomic layer deposition,ALD)、高密度等离子体化学气相沉积(High Density Plasma CVD,HDP-CVD)、金属有机化学气相沉积(Metal OrganicCVD,MO-CVD)、远距等离子体化学气相沉积(remote plasma CVD,RP-CVD)、等离子体增强化学气相沉积(Plasma Enhanced CVD,PE-CVD)、低压化学气相沉积(low-pressure CVD,LP-CVD)、原子层化学气相沉积(atomic layer CVD,AL-CVD)、常压化学气相沉积(atmosphericpressure CVD,AP-CVD)、气相外延(vapor-phase epitaxy,VPE)、超高真空化学气相沉积(ultra-high vacuum CVD,UHV-CVD)、分子束外延(molecular beam epitaxy)、其他合适的方法或前述的组合。选择性外延成长工艺的实施通过将气态前驱物及/或液态前驱物导入鳍片204A或204B的源极/漏极区以分别形成源极/漏极部件214和216。在一些实施例中,图案化遮罩可用于帮助选择性外延成长工艺。在示出的实施例中,形成源极/漏极部件214包含一起导入含硅的前驱物气体(例如SiH4)与含磷的气体(例如PH3)。在进一步描述的实施例中,形成源极/漏极部件216包含以含有掺质的气体(例如B2H6)导入含硅前驱物气体(例如SiH4)及/或含锗前驱物气体(例如GeH4)。可以进行一或多个退火工艺以活化外延材料。退火工艺包含快速热退火(rapid thermal annealing,RTA)、激光退火、其他合适的退火工艺或前述的组合。The source/drain features 214 and 216 may be formed by any suitable technique, such as an etching process followed by one or more epitaxy processes. In one example, one or more etching processes are performed to remove portions of fins 204A and 204B to form grooves (not shown) in these portions, respectively. The cleaning process may be performed with a hydrofluoric acid (HF) solution or other suitable solution to clean the grooves. Subsequently, one or more epitaxial growth (eg, doping) processes, such as in-situ doping processes, ion implantation processes, diffusion processes, other processes, or combinations thereof, may be performed to form epitaxial features in the recesses. In some embodiments, a selective epitaxial growth (SEG) process is performed to grow the epitaxial material, which is an in-situ doping process during which a dopant (eg, for n Phosphorus for p-type epitaxial materials or boron for p-type epitaxial materials) is introduced into semiconductor materials such as silicon or silicon germanium (eg, by adding dopants to the source material of a selective epitaxial growth process). The selective epitaxial growth process can be implemented by any deposition technique, such as chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma Chemical vapor deposition (High Density Plasma CVD, HDP-CVD), metal organic chemical vapor deposition (Metal OrganicCVD, MO-CVD), remote plasma chemical vapor deposition (remote plasma CVD, RP-CVD), plasma enhanced chemical vapor deposition Deposition (Plasma Enhanced CVD, PE-CVD), low pressure chemical vapor deposition (low-pressure CVD, LP-CVD), atomic layer chemical vapor deposition (atomic layer CVD, AL-CVD), atmospheric pressure chemical vapor deposition (atmospheric pressure CVD, AP-CVD), vapor-phase epitaxy (VPE), ultra-high vacuum chemical vapor deposition (ultra-high vacuum CVD, UHV-CVD), molecular beam epitaxy (molecular beam epitaxy), other suitable methods or the aforementioned combination. The selective epitaxial growth process is performed by introducing gaseous and/or liquid precursors into the source/drain regions of fins 204A or 204B to form source/drain features 214 and 216, respectively. In some embodiments, a patterned mask may be used to aid in the selective epitaxial growth process. In the illustrated embodiment, forming the source/drain features 214 includes introducing a silicon-containing precursor gas (eg, SiH 4 ) together with a phosphorus-containing gas (eg, PH 3 ). In a further described embodiment, forming the source/drain features 216 includes introducing a silicon-containing precursor gas (eg, SiH4 ) and/or a germanium-containing precursor gas (eg, B2H6 ) with a dopant-containing gas (eg, B2H6 ). For example GeH 4 ). One or more annealing processes may be performed to activate the epitaxial material. The annealing process includes rapid thermal annealing (RTA), laser annealing, other suitable annealing processes, or a combination of the foregoing.

结果,在示出的实施例中,源极/漏极部件214包含一或多层掺杂磷的硅(SiP),以及源极/漏极部件216包含一或多层掺杂硼的硅锗(SiGeB)。在一些实施例中,SiGeB中的锗的量在约10%(例如原子百分比)至约50%的范围。在示出的实施例中,为了达到想要的装置效能,源极/漏极部件214和216可以各自形成为约35纳米至约60纳米的总厚度,但本发明实施例不限于此厚度范围。As a result, in the illustrated embodiment, source/drain features 214 comprise one or more layers of phosphorous-doped silicon (SiP), and source/drain features 216 comprise one or more layers of boron-doped silicon germanium (SiGeB). In some embodiments, the amount of germanium in the SiGeB ranges from about 10% (eg, atomic percent) to about 50%. In the illustrated embodiment, to achieve desired device performance, the source/drain features 214 and 216 may each be formed with a total thickness of about 35 nanometers to about 60 nanometers, although embodiments of the invention are not limited to this thickness range .

源极/漏极部件214的形成可以通过在约摄氏600度至约摄氏800度的成长温度下(例如通过将工件200加热至约摄氏600度至约摄氏800度)进行如上所述的原位掺杂工艺。所形成的源极/漏极部件214可以是单层结构或多层结构,每层包含相同的外延材料SiP但不同的掺质浓度(亦即不同的磷浓度)。在一非限制用范例中,源极/漏极部件214包含三层SiP外延层,每层SiP具有不同的磷浓度,磷浓度的范围从约2×1020cm-3至约3×1021cm-3。其中,源极/漏极部件214的最上面的外延层的磷浓度低于直接形成于鳍片204A上方的最下面的外延层的磷浓度,最下面的外延层的磷浓度低于中间外延层的磷浓度。当然,源极/漏极部件214不限于三层,并且掺质P的相对浓度可以不同于在此描述的掺质P的相对浓度。Formation of the source/drain features 214 may be performed in-situ as described above at a growth temperature of about 600 degrees Celsius to about 800 degrees Celsius (eg, by heating the workpiece 200 to about 600 degrees Celsius to about 800 degrees Celsius). doping process. The formed source/drain features 214 may be a single-layer structure or a multi-layer structure, each layer comprising the same epitaxial material SiP but different dopant concentrations (ie, different phosphorus concentrations). In a non-limiting example, the source/drain features 214 comprise three SiP epitaxial layers, each SiP layer having a different phosphorous concentration ranging from about 2×10 20 cm −3 to about 3×10 21 . cm -3 . Wherein, the phosphorus concentration of the uppermost epitaxial layer of the source/drain features 214 is lower than the phosphorus concentration of the lowermost epitaxial layer formed directly above the fin 204A, and the phosphorus concentration of the lowermost epitaxial layer is lower than that of the middle epitaxial layer phosphorus concentration. Of course, the source/drain features 214 are not limited to three layers, and the relative concentration of the dopant P may be different from the relative concentration of the dopant P described herein.

在一些实施例中,工件200还包含设置于源极/漏极部件216上方的硅锗层(掺杂或未掺杂;未示出),其具有约1纳米至约10纳米的厚度,其中硅锗层中的锗含量大于源极/漏极部件216的SiGeB中的锗含量。在一范例中,硅锗层中的锗含量大于约50%且小于约90%。或者,工件200可包含设置于源极/漏极部件216上方的纯锗层(亦即,锗含量大于约99%;未示出),其具有约1纳米至约10纳米的厚度。在许多实施例中,具有设置于源极/漏极部件216上方的额外硅锗或纯锗层增加了装置区210B中存在的锗含量,其可适用于适应以下详细讨论的方法100的后续工艺步骤。在此方面,硅锗层及/或纯锗层作为牺牲层,因此可以形成的厚度远小于源极/漏极部件216的厚度(例如约35纳米至约40纳米)。In some embodiments, workpiece 200 also includes a silicon germanium layer (doped or undoped; not shown) disposed over source/drain features 216 having a thickness of about 1 nanometer to about 10 nanometers, wherein The germanium content in the silicon germanium layer is greater than the germanium content in the SiGeB of the source/drain features 216 . In one example, the germanium content in the silicon germanium layer is greater than about 50% and less than about 90%. Alternatively, workpiece 200 may include a pure germanium layer (ie, having a germanium content greater than about 99%; not shown) disposed over source/drain features 216 having a thickness of about 1 nanometer to about 10 nanometers. In many embodiments, having an additional layer of silicon germanium or pure germanium disposed over source/drain features 216 increases the amount of germanium present in device region 210B, which may be adapted to accommodate subsequent processing of method 100 discussed in detail below step. In this regard, the silicon germanium layer and/or the pure germanium layer acts as a sacrificial layer and thus can be formed to a thickness much smaller than the thickness of the source/drain features 216 (eg, about 35 nanometers to about 40 nanometers).

金属栅极结构220A和220B各自包含界面层222、栅极介电层224、功函数金属层226和块状(bulk)导电层228。界面层222可以包含氧化硅(SiO2)、氮氧化硅(SiON)、氧化锗(GeO2)、其他合适的材料或前述的组合。在鳍片204A和204B上形成界面层222的步骤可以通过任何合适的方法,例如化学氧化、热氧化或通过化学气相沉积或原子层沉积的沉积工艺、其他合适的方法或前述的组合。在一些实施例中,可以省略界面层222。Metal gate structures 220A and 220B each include an interface layer 222 , a gate dielectric layer 224 , a work function metal layer 226 and a bulk conductive layer 228 . The interface layer 222 may comprise silicon oxide (SiO 2 ), silicon oxynitride (SiON), germanium oxide (GeO 2 ), other suitable materials, or a combination of the foregoing. The step of forming the interface layer 222 on the fins 204A and 204B may be by any suitable method, such as chemical oxidation, thermal oxidation, or deposition processes by chemical vapor deposition or atomic layer deposition, other suitable methods, or combinations of the foregoing. In some embodiments, the interface layer 222 may be omitted.

栅极介电层224可以包含氧化硅(SiO2),氮氧化硅(SiON)、氧化铝硅(AlSiO)、高介电常数(high-k)介电材料,例如氧化铪(HfO2)、氧化锆(ZrO2)、氧化镧(La2O3)、氧化钛(TiO2)、氧化钇(Y2O3)、钛酸锶(SrTiO3)、其他合适的金属氧化物或前述的组合。在示出的实施例中,栅极介电层224包含高介电常数介电材料,其介电常数大于氧化硅的介电常数。栅极介电层224的沉积可以通过化学氧化、热氧化、化学气相沉积、原子层沉积或其他合适的方法。The gate dielectric layer 224 may include silicon oxide (SiO 2 ), silicon oxynitride (SiON), aluminum oxide silicon (AlSiO), high-k dielectric materials such as hafnium oxide (HfO 2 ), Zirconium oxide (ZrO 2 ), lanthanum oxide (La 2 O 3 ), titanium oxide (TiO 2 ), yttrium oxide (Y 2 O 3 ), strontium titanate (SrTiO 3 ), other suitable metal oxides, or combinations of the foregoing . In the illustrated embodiment, the gate dielectric layer 224 comprises a high-k dielectric material having a dielectric constant greater than that of silicon oxide. The gate dielectric layer 224 may be deposited by chemical oxidation, thermal oxidation, chemical vapor deposition, atomic layer deposition, or other suitable methods.

功函数金属层226可以是p型或n型功函数层,其分别用于p型鳍式场效晶体管和n型鳍式场效晶体管。p型功函数层包含例如氮化钛(TiN)、氮化钽(TaN)、钌(Ru)、钼(Mo)、钨(W)、铂(Pt)或前述的组合的金属。n型功函数层包含例如钛(Ti)、铝(Al)、碳化钽(TaC)、氮碳化钽(TaCN)、氮化钽硅(TaSiN)或前述的组合的金属。在一些实施例中,金属栅极结构220A和220B各自包含超过一个功函数金属层,其可以是相似或不同的类型。块状导电层228可以包含铝(Al)、钨(W)、钴(Co)、铜(Cu)、钌(Ru)及/或其他合适的材料。虽然未示出,但金属栅极结构220A和220B可以各自包含合适的膜层,例如阻障层和覆盖层。The work function metal layer 226 may be a p-type or n-type work function layer, which are used for p-type FinFETs and n-type FinFETs, respectively. The p-type work function layer includes metals such as titanium nitride (TiN), tantalum nitride (TaN), ruthenium (Ru), molybdenum (Mo), tungsten (W), platinum (Pt), or a combination of the foregoing. The n-type work function layer includes metals such as titanium (Ti), aluminum (Al), tantalum carbide (TaC), tantalum carbide nitride (TaCN), tantalum silicon nitride (TaSiN), or a combination of the foregoing. In some embodiments, metal gate structures 220A and 220B each comprise more than one work function metal layer, which may be of similar or different types. The bulk conductive layer 228 may include aluminum (Al), tungsten (W), cobalt (Co), copper (Cu), ruthenium (Ru), and/or other suitable materials. Although not shown, the metal gate structures 220A and 220B may each include suitable film layers, such as barrier layers and capping layers.

在许多实施例中,在形成源极/漏极部件214和216之前,先在金属栅极结构220A和220B的位置形成虚设栅极结构(未示出),虚设栅极结构包含界面层222、虚设栅极电极(包含例如多晶硅)以及在一些范例中的栅极介电层。然后,如上所述,在栅极取代工艺中,以金属栅极结构220A和220B取代至少部分虚设栅极结构。为了完成栅极取代,先在鳍片204A和204B、源极/漏极部件214和216、虚设栅极结构和隔离部件208上方形成层间介电层218(以及在一些范例中的接触蚀刻停止层(未示出))。然后,可以完全移除虚设栅极电极和栅极介电层,并且在“高介电常数后制(high-k last)”栅极取代工艺中,在这些位置形成金属栅极结构220A和220B。或者,在移除虚设栅极电极之后,留下虚设栅极结构的栅极介电层,且栅极介电层变成栅极介电层224,并且在栅极介电层224上方形成金属栅极结构220A和220B的各种材料层,以完成“高介电常数先制”栅极取代工艺。各种材料层的形成可以通过任何合适的沉积工艺,例如化学气相沉积、物理气相沉积、原子层沉积、电镀(plating)、其他合适的工艺或前述的组合。然后,可以进行一或多个化学机械平坦化工艺以将金属栅极结构220A和220B的顶表面与层间介电层218的顶表面平坦化。In many embodiments, before forming the source/drain features 214 and 216, a dummy gate structure (not shown) is formed at the location of the metal gate structures 220A and 220B, the dummy gate structure including the interface layer 222, A dummy gate electrode (including, for example, polysilicon) and, in some examples, a gate dielectric layer. Then, as described above, in a gate replacement process, at least part of the dummy gate structures are replaced with metal gate structures 220A and 220B. To complete the gate replacement, an interlayer dielectric layer 218 (and in some examples a contact etch stop) is first formed over the fins 204A and 204B, the source/drain features 214 and 216, the dummy gate structure, and the isolation features 208 layer (not shown)). The dummy gate electrode and gate dielectric layer can then be completely removed and metal gate structures 220A and 220B formed at these locations in a "high-k last" gate replacement process . Alternatively, after the dummy gate electrode is removed, the gate dielectric layer of the dummy gate structure is left, and the gate dielectric layer becomes the gate dielectric layer 224 and a metal is formed over the gate dielectric layer 224 Various material layers of the gate structures 220A and 220B to complete the "high-k pre-made" gate replacement process. The layers of various materials may be formed by any suitable deposition process, such as chemical vapor deposition, physical vapor deposition, atomic layer deposition, plating, other suitable processes, or combinations of the foregoing. Then, one or more chemical mechanical planarization processes may be performed to planarize the top surfaces of the metal gate structures 220A and 220B and the top surface of the interlayer dielectric layer 218 .

此外,工件200可以包含沿着金属栅极结构220A和220B的侧壁设置的栅极间隔物212。栅极间隔物212可以包含介电材料,例如氧化硅、氮化硅、碳化硅、氮氧化硅及/或其他合适的介电材料。栅极间隔物212可以是单层结构或多层结构。栅极间隔物212的形成可以在形成虚设栅极结构之后但在形成源极/漏极部件214和216之前,通过先在工件200上方沉积间隔材料的毯覆层,然后进行非等向性(anisotropic)蚀刻工艺以移除部分间隔材料,以沿着虚设栅极结构的侧壁形成栅极间隔物212。在如前所述的栅极取代工艺期间,留下栅极间隔物212作为工件200的一部分。Additionally, workpiece 200 may include gate spacers 212 disposed along sidewalls of metal gate structures 220A and 220B. The gate spacers 212 may include dielectric materials such as silicon oxide, silicon nitride, silicon carbide, silicon oxynitride, and/or other suitable dielectric materials. The gate spacer 212 may be a single-layer structure or a multi-layer structure. Formation of gate spacers 212 may be performed by first depositing a blanket layer of spacer material over workpiece 200, followed by anisotropic ( anisotropic) etching process to remove portions of the spacer material to form gate spacers 212 along the sidewalls of the dummy gate structures. During the gate replacement process as previously described, gate spacers 212 are left as part of workpiece 200 .

对于工件200包含接触蚀刻停止层的实施例,接触蚀刻停止层可以包含氮化硅、氮氧化硅、碳氮氧化硅及/或其他合适的材料,并且接触蚀刻停止层的形成可以通过化学气相沉积、物理气相沉积、原子层沉积、其他合适的方法或前述的组合。层间介电层218可以包含介电材料,例如四乙氧基硅烷(tetraethylorthosilicate,TEOS)、未掺杂的硅酸盐玻璃或掺杂的氧化硅,例如硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)、熔融的硅酸盐玻璃(fused silica glass,FSG)、磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼掺杂的硅玻璃(boron doped silicon glass,BSG)及/或其他合适的介电材料。层间介电层218可以包含具有多种介电材料的多层结构。可以在如前所述的栅极取代工艺之前通过沉积工艺形成层间介电层218,举例来说,沉积工艺例如化学气相沉积、物理气相沉积、可流动式化学气相沉积(flowable CVD,FCVD)、旋涂玻璃(spin-on glass,SOG)、其他合适的工艺或前述的组合。在形成层间介电层218之后,可以进行平坦化工艺,例如化学机械平坦化,使得虚设栅极结构的顶部露出,允许完成如前所述的栅极取代工艺。For embodiments in which workpiece 200 includes a contact etch stop layer, the contact etch stop layer may include silicon nitride, silicon oxynitride, silicon oxycarbonitride, and/or other suitable materials, and the contact etch stop layer may be formed by chemical vapor deposition , physical vapor deposition, atomic layer deposition, other suitable methods, or a combination of the foregoing. The interlayer dielectric layer 218 may comprise a dielectric material, such as tetraethylorthosilicate (TEOS), undoped silicate glass, or doped silicon oxide, such as borophosphosilicate glass (borophosphosilicate glass, BPSG), fused silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable media electrical material. The interlayer dielectric layer 218 may comprise a multi-layered structure having various dielectric materials. The interlayer dielectric layer 218 may be formed by a deposition process such as chemical vapor deposition, physical vapor deposition, flowable chemical vapor deposition (FCVD) prior to the gate replacement process as previously described , spin-on glass (SOG), other suitable processes, or a combination of the foregoing. After the formation of the interlayer dielectric layer 218, a planarization process, such as chemical mechanical planarization, may be performed to expose the top of the dummy gate structure, allowing the gate replacement process as previously described to be completed.

现在参照图1A的方框104和图4,方法100在装置区210A中的源极/漏极部件214上方形成沟槽242,以及在装置区210B中的源极/漏极部件216上方形成沟槽244。为了简化的目的,参照如图3所示的工件200的一部分230提供以下描述。为了形成沟槽242和244,方法100先在工件200(或部分230)上方形成类似于上述层间介电层218的层间介电层240。然后,方法100对层间介电层240和218进行图案化和蚀刻以形成沟槽242和244。具体而言,方法100可以先在工件200上方形成包含阻剂(resist)(例如光刻胶(photoresist))层的遮罩元件(未示出)、硬遮罩层及/或底层(例如底部抗反射涂层)。然后,方法100继续将光刻胶层图案化,借此在遮罩元件中形成开口(未示出)。然后使用图案化的光刻胶层作为蚀刻遮罩蚀刻层间介电层240和218,以形成分别露出源极/漏极部件214和216的沟槽242和244。层间介电层240和218的蚀刻可以通过任何合适的工艺来进行,包含干式蚀刻、湿式蚀刻、反应离子蚀刻、其他合适的工艺或前述的组合。随后通过任何合适的方法移除遮罩元件,例如光刻胶剥离(stripping)或等离子体灰化(ashing)。Referring now to block 104 and FIG. 4 of FIG. 1A , method 100 forms trenches 242 over source/drain features 214 in device region 210A and trenches over source/drain features 216 in device region 210B Slot 244. For simplicity, the following description is provided with reference to a portion 230 of workpiece 200 as shown in FIG. 3 . To form trenches 242 and 244, method 100 begins by forming an interlayer dielectric layer 240 similar to interlayer dielectric layer 218 described above over workpiece 200 (or portion 230). Method 100 then patterns and etchs interlayer dielectric layers 240 and 218 to form trenches 242 and 244 . Specifically, the method 100 may first form a mask element (not shown) including a resist (eg, photoresist) layer, a hard mask layer, and/or a bottom layer (eg, a bottom layer) over the workpiece 200 anti-reflective coating). The method 100 then proceeds to pattern the photoresist layer, thereby forming openings (not shown) in the mask element. The interlayer dielectric layers 240 and 218 are then etched using the patterned photoresist layer as an etch mask to form trenches 242 and 244 that expose the source/drain features 214 and 216, respectively. The etching of the interlayer dielectric layers 240 and 218 may be performed by any suitable process, including dry etching, wet etching, reactive ion etching, other suitable processes, or a combination of the foregoing. The mask element is then removed by any suitable method, such as photoresist stripping or plasma ashing.

参照图1的方框106和图5,方法100在沟槽242和244中以及在层间介电层240的顶表面上方形成n型半导体层252。值得注意的是,n型半导体层252沉积于源极/漏极部件214和216的外延晶体材料上方以及例如层间介电层240和栅极间隔物212的介电部件。在源极/漏极部件214和216上方形成的n型半导体层252的部分是晶体并且外延成长,其在组成上类似于包含在源极/漏极部件214中的外延材料,而在介电部件(例如层间介电层240、栅极间隔物212等)上方形成的n型半导体层252的部分是非晶的。如以下的讨论,随后的蚀刻工艺将选择性地从介电部件中移除n型半导体层252的非晶部分,并且将留下设置在源极/漏极部件214和216上方的n型半导体层252的晶体部分。Referring to block 106 of FIG. 1 and FIG. 5 , method 100 forms n-type semiconductor layer 252 in trenches 242 and 244 and over the top surface of interlayer dielectric layer 240 . Notably, n-type semiconductor layer 252 is deposited over the epitaxial crystalline material of source/drain features 214 and 216 and dielectric features such as interlayer dielectric layer 240 and gate spacer 212 . Portions of n-type semiconductor layer 252 formed over source/drain features 214 and 216 are crystalline and epitaxially grown, similar in composition to the epitaxial material contained in source/drain features 214, while in dielectric Portions of n-type semiconductor layer 252 formed over features (eg, interlayer dielectric layer 240, gate spacer 212, etc.) are amorphous. As discussed below, a subsequent etch process will selectively remove amorphous portions of n-type semiconductor layer 252 from the dielectric features and will leave n-type semiconductor disposed over source/drain features 214 and 216 The crystalline portion of layer 252.

n型半导体层252可以包含任何合适的n型半导体材料,举例来说,例如掺杂磷的硅(SiP)、掺杂砷的硅(SiAs)、掺杂磷的碳硅(SiPC)、掺杂砷的碳硅(SiAsC)、其他n型半导体材料或前述的组合。因此,虽然以下公开内容将n型半导体层252称为SiP层,但它仅是例示性实施例,因此并非将n型半导体层252限制成仅包含SiP。在许多实施例中,方法100通过在约摄氏300度至约摄氏500度的温度下(例如,通过将工件200加热至约摄氏300度至约摄氏500度的温度)沉积包含含硅气体(例如SiH4)和含磷气体(例如PH3)的气体混合物250来形成SiP层(又称为n型半导体层)252。在例示性实施例中,SiP层252中的磷浓度为约2×1021cm-3The n-type semiconductor layer 252 may comprise any suitable n-type semiconductor material, such as, for example, silicon doped with phosphorus (SiP), silicon doped with arsenic (SiAs), silicon doped with phosphorus (SiPC), doped silicon Arsenic on silicon carbon (SiAsC), other n-type semiconductor materials, or combinations of the foregoing. Accordingly, although the following disclosure refers to n-type semiconductor layer 252 as a SiP layer, this is merely an exemplary embodiment, and thus does not limit n-type semiconductor layer 252 to include only SiP. In many embodiments, method 100 comprises depositing a silicon-containing gas (eg, by heating workpiece 200 to a temperature of about 300 degrees Celsius to about 500 degrees Celsius) at a temperature of about 300 degrees Celsius to about 500 degrees Celsius A gas mixture 250 of SiH 4 ) and a phosphorus-containing gas (eg, PH 3 ) to form a SiP layer (also referred to as an n-type semiconductor layer) 252 . In an exemplary embodiment, the phosphorus concentration in SiP layer 252 is about 2×10 21 cm −3 .

相反地,相较于如上所述的SiP层252的晶体部分,在更高的温度(例如,如上所述的约摄氏600度至约摄氏800度)下形成源极/漏极部件214的外延SiP。另外,当在类似的掺杂程度(亦即具有类似的磷浓度)下进行比较时,SiP层252的晶体部分的电阻率小于源极/漏极部件214的外延SiP的电阻率的约1/2。作为说明性范例,源极/漏极部件214的电阻率可以是约0.6毫欧·公分(milliOhm·cm,mΩ·cm)至约0.8mΩ·cm,并且SiP层252的电阻率可以是约0.2mΩ·cm至约0.4mΩ·cm。这样的电阻率降低可归因于SiP层252中存在的点缺陷浓度较低,这是由于工艺温度低于在较高工艺温度下形成的源极/漏极部件214中的SiP层252。在这方面,用于形成SiP层252的工艺温度可以控制在约摄氏300度至约摄氏500度,以使得SiP的电阻率低于源极/漏极部件214的SiP的电阻率。一方面,如果工艺温度低于约摄氏300度,则SiP的成长可以是非晶的或多晶的,而不是单晶,单晶使得电阻率低于非晶或多晶SiP。另外,当工艺温度低于约摄氏300度时,SiP的成长速率也降低,延长了工艺时间。此外,在较低的加工温度下,可能需要更高碳数的硅烷前驱物,例如Si4H10和Si5H12,这可能增加生产工艺的相关成本。另一方面,如果工艺温度高于约摄氏500度,则金属栅极结构220A和220B的部件可能遭受不想要的热损坏。在本发明实施例中,降低在源极/漏极部件214上方形成的SiP层252的电阻率用于降低源极/漏极部件214与随后在源极/漏极部件214上方形成的源极/漏极接触件294(参照图3)之间的界面处的接触电阻,借此改善在工件200中形成的鳍式场效晶体管的效能。Conversely, the epitaxy of the source/drain features 214 is formed at a higher temperature (eg, about 600 degrees Celsius to about 800 degrees Celsius as described above) than the crystalline portion of the SiP layer 252 as described above. SiP. Additionally, the resistivity of the crystalline portion of SiP layer 252 is less than about 1/1/2 the resistivity of the epitaxial SiP of source/drain features 214 when compared at similar doping levels (ie, with similar phosphorus concentrations). 2. As an illustrative example, the resistivity of source/drain features 214 may be about 0.6 milliOhm·cm (mΩ·cm) to about 0.8 mΩ·cm, and the resistivity of SiP layer 252 may be about 0.2 mΩ·cm to about 0.4 mΩ·cm. Such a decrease in resistivity can be attributed to the lower concentration of point defects present in SiP layer 252 due to the lower process temperature than SiP layer 252 in source/drain features 214 formed at higher process temperatures. In this regard, the process temperature for forming the SiP layer 252 may be controlled at about 300 degrees Celsius to about 500 degrees Celsius so that the resistivity of the SiP is lower than the resistivity of the SiP of the source/drain features 214 . On the one hand, if the process temperature is below about 300 degrees Celsius, the growth of SiP can be amorphous or polycrystalline, rather than single crystal, which makes the resistivity lower than that of amorphous or polycrystalline SiP. In addition, when the process temperature is lower than about 300 degrees Celsius, the growth rate of SiP is also reduced, extending the process time. Furthermore, at lower processing temperatures, higher carbon number silane precursors, such as Si4H10 and Si5H12 , may be required, which may increase the associated cost of the production process. On the other hand, if the process temperature is above about 500 degrees Celsius, components of the metal gate structures 220A and 220B may suffer unwanted thermal damage. In embodiments of the present invention, reducing the resistivity of the SiP layer 252 formed over the source/drain features 214 is used to reduce the source/drain features 214 and subsequent sources formed over the source/drain features 214 The contact resistance at the interface between the /drain contacts 294 (see FIG. 3 ), thereby improving the performance of the FinFET formed in the workpiece 200 .

在许多实施例中,SiP层252形成为约0.5纳米至约1.5纳米的厚度tSiP。如以下的讨论,因为随后的工艺步骤可能移除SiP层252的一部分,如果tSiP小于约0.5纳米,则不足以使SiP留在工件200中以达到接触电阻的降低。然而,如果tSiP大于约1.5纳米,则在介电部件(例如层间介电层240和栅极间隔物212)上方形成的半导体材料层的部分可能变得太厚而无法在随后的蚀刻工艺中被移除。In many embodiments, SiP layer 252 is formed to a thickness tSiP of about 0.5 nanometers to about 1.5 nanometers. As discussed below, since subsequent process steps may remove a portion of SiP layer 252, if tSiP is less than about 0.5 nanometers, there is not enough SiP to remain in workpiece 200 to achieve a reduction in contact resistance. However, if tSiP is greater than about 1.5 nanometers, portions of the layer of semiconductor material formed over the dielectric features (eg, interlayer dielectric layer 240 and gate spacer 212 ) may become too thick for subsequent etching processes removed in .

参照图1A的方框108和图6A,方法100对工件200进行处理,借此在沟槽242和244中的SiP层252的晶体部分上形成含锗层262。方法100先对工件200实施气体混合物260,包含含锗气体,例如GeH4,以及含氯(Cl)气体,例如HCl、Cl2、其他合适的含氯气体或前述的组合。在本发明实施例中,含锗气体形成在SiP层252的晶体部分上方的含锗层262,而SiP层252的非晶部分被含氯气体移除。以下讨论处理的细节。Referring to block 108 of FIG. 1A and FIG. 6A , method 100 processes workpiece 200 whereby germanium-containing layer 262 is formed on crystalline portions of SiP layer 252 in trenches 242 and 244 . The method 100 begins by applying a gas mixture 260 to the workpiece 200, comprising a germanium-containing gas, such as GeH4 , and a chlorine (Cl)-containing gas, such as HCl, Cl2, other suitable chlorine - containing gas, or a combination thereof. In an embodiment of the invention, the germanium-containing gas is formed on the germanium-containing layer 262 over the crystalline portion of the SiP layer 252, and the amorphous portion of the SiP layer 252 is removed by the chlorine-containing gas. Details of processing are discussed below.

在许多实施例中,含锗气体在SiP层252的晶体和非晶部分上方沉积锗原子,在SiP层252的顶表面上整个动态地形成Si-Ge键。锗原子扩散到非晶态SiP层252的非晶部分的速率大于SiP层252的晶体部分,并形成非晶的含锗SiP层。相较之下,锗原子有限地扩散至SiP层252的晶体部分中并在其上形成含锗层262(亦即锗浓度约为100%的纯锗残留层)。因为Ge-Si键的能量小于共价Si-Si键,所以相对于工件200的其他组件,含氯气体对含非晶锗的SiP层的蚀刻选择性增强。换句话说,相较于SiP层252的晶体部分未被蚀刻或仅被最小程度地蚀刻,SiP层252的非晶部分以较大速率被蚀刻。结果,含锗层262留在SiP层252的晶体部分上方。在一些实施例中,当使用在此提供的方法形成时,含锗层262具有约0.5纳米至约2纳米的厚度。在一些实施例中,从气态混合物260中省略含氯气体,并且仅使用含锗气体来处理工件200。如此一来,可以在随后的蚀刻工艺移除在介电部件上方形成的SiP层252的非晶部分。In many embodiments, the germanium-containing gas deposits germanium atoms over the crystalline and amorphous portions of the SiP layer 252 , dynamically forming Si-Ge bonds throughout the top surface of the SiP layer 252 . The germanium atoms diffuse into the amorphous portion of the amorphous SiP layer 252 at a rate greater than the crystalline portion of the SiP layer 252 and form an amorphous germanium-containing SiP layer. In contrast, germanium atoms diffuse limitedly into the crystalline portion of SiP layer 252 and form a germanium-containing layer 262 thereon (ie, a pure germanium residual layer with a germanium concentration of about 100%). Because the energy of Ge-Si bonds is less than that of covalent Si-Si bonds, the etch selectivity of the chlorine-containing gas to the SiP layer containing amorphous germanium is enhanced relative to other components of the workpiece 200 . In other words, the amorphous portion of the SiP layer 252 is etched at a greater rate than the crystalline portion of the SiP layer 252 that is not etched or is only minimally etched. As a result, the germanium-containing layer 262 remains over the crystalline portion of the SiP layer 252 . In some embodiments, the germanium-containing layer 262 has a thickness of about 0.5 nanometers to about 2 nanometers when formed using the methods provided herein. In some embodiments, the chlorine-containing gas is omitted from the gaseous mixture 260 and only the germanium-containing gas is used to process the workpiece 200 . As such, the amorphous portion of SiP layer 252 formed over the dielectric features may be removed in a subsequent etch process.

可以调节含氯气体与含锗气体的分压比,以控制SiP层252的非晶部分的移除和含锗层262的形成。如果比例太小,亦即,如果含锗气体的分压显著地大于含氯气体的分压,则在SiP层252的晶体部分上会发生含锗层262的过度堆积,使其难以在随后的制造步骤中移除。另一方面,如果比例太大,亦即,如果含氯气体的分压显著地大于含锗气体的分压,则SiP层252的非晶部分的蚀刻速率将显著地减少。在例示性实施例中,上述比例为约22至约100。The partial pressure ratio of the chlorine-containing gas to the germanium-containing gas can be adjusted to control the removal of the amorphous portion of the SiP layer 252 and the formation of the germanium-containing layer 262 . If the ratio is too small, that is, if the partial pressure of the germanium-containing gas is significantly greater than the partial pressure of the chlorine-containing gas, excessive accumulation of the germanium-containing layer 262 may occur on the crystalline portion of the SiP layer 252, making it difficult for subsequent removed during the manufacturing step. On the other hand, if the ratio is too large, that is, if the partial pressure of the chlorine-containing gas is significantly greater than that of the germanium-containing gas, the etching rate of the amorphous portion of the SiP layer 252 will be significantly reduced. In an exemplary embodiment, the above ratio is from about 22 to about 100.

在装置区210B中,因为锗的浓度梯度存在于含锗层262和SiP层252之间以及源极/漏极部件216和SiP层252之间,所以锗原子从顶部和从底部扩散至SiP层252,借此将SiP层252转换成SiPGe层254。类似地,含锗层262和SiP层252之间的锗的浓度梯度驱动锗原子较小程度地扩散至装置区210A中的SiP层252。因此,SiPGe层254中的锗浓度大于SiP层252中的锗浓度。在一些实施例中,SiPGe层254的厚度小于含锗层262的厚度。举例来说,SiPGe层254与含锗层262的厚度的比值为约0.5至约1.0,但本发明实施例不限于此。图6B示出锗从含锗层262和源极/漏极部件216扩散至SiPGe层254的例示性实施例,其中箭头表示锗扩散的方向。值得注意的是,一旦在三层之间达到扩散平衡,SiPGe层254中的锗的量至少为10%(wt%),以允许在后续的制造步骤中具有想要的蚀刻选择性。In device region 210B, germanium atoms diffuse from the top and bottom to the SiP layer because a concentration gradient of germanium exists between the germanium-containing layer 262 and the SiP layer 252 and between the source/drain features 216 and the SiP layer 252 252 , thereby converting the SiP layer 252 into a SiPGe layer 254 . Similarly, the concentration gradient of germanium between germanium-containing layer 262 and SiP layer 252 drives the germanium atoms to diffuse to a lesser extent into SiP layer 252 in device region 210A. Therefore, the germanium concentration in SiPGe layer 254 is greater than the germanium concentration in SiP layer 252 . In some embodiments, the thickness of SiPGe layer 254 is less than the thickness of germanium-containing layer 262 . For example, the ratio of the thicknesses of the SiPGe layer 254 to the germanium-containing layer 262 is about 0.5 to about 1.0, but the embodiment of the present invention is not limited thereto. 6B shows an exemplary embodiment of germanium diffusing from germanium-containing layer 262 and source/drain features 216 to SiPGe layer 254, with arrows indicating the direction of germanium diffusion. Notably, once diffusion equilibrium is reached between the three layers, the amount of germanium in SiPGe layer 254 is at least 10% (wt %) to allow for desired etch selectivity in subsequent fabrication steps.

在例示性实施例中,参照图6C,SiPGe层254中的锗的例示性浓度轮廓304在装置区210B的整个厚度上变化。在示出的示例中,含锗层262包含约100%的锗,并且源极/漏极部件216包含约50%的锗;当然,本公开不限于这些组成,只要含锗层262中的锗含量大于源极/漏极部件216中的锗含量。来自锗从含锗层262扩散至SiPGe层254的锗轮廓302和来自锗从源极/漏极部件216扩散至SiPGe层254形成SiPGe层254的浓度轮廓306。具体而言,由于SiPGe层254的顶部部分接近含锗层262而包含最高的锗含量。由于锗从源极/漏极部件216扩散,SiPGe层254的底部包含的锗少于SiPGe层254的顶部,但多于SiPGe层254的中间部分。参照图6D,其示出装置区210A中的SiP层252中的锗的例示性浓度轮廓308。值得注意的是,虽然会因为锗从含锗层262扩散而使得SiP层252的顶部包含有限数量的锗,但在源极/漏极部件214附近的SiP层252的底部的浓度被耗尽。事实上,SiP层252包含锗含量少于约10%的无Ge或大致上无锗的区域256(下文称为SiP层256)。如以下将详细讨论的,由于选择性蚀刻工艺使用一或多种含氯气体,只会移除锗含量大于约10%的区域。In an exemplary embodiment, referring to FIG. 6C , an exemplary concentration profile 304 of germanium in SiPGe layer 254 varies throughout the thickness of device region 210B. In the example shown, germanium-containing layer 262 contains about 100% germanium, and source/drain features 216 contain about 50% germanium; of course, the present disclosure is not limited to these compositions as long as the germanium in germanium-containing layer 262 is The content is greater than the germanium content in the source/drain features 216 . The germanium profile 302 from germanium diffusing from the germanium-containing layer 262 to the SiPGe layer 254 and from germanium diffusing from the source/drain features 216 to the SiPGe layer 254 form the concentration profile 306 of the SiPGe layer 254 . Specifically, the top portion of SiPGe layer 254 contains the highest germanium content due to its proximity to germanium-containing layer 262 . The bottom portion of SiPGe layer 254 contains less germanium than the top portion of SiPGe layer 254 due to the diffusion of germanium from source/drain features 216 , but more than the middle portion of SiPGe layer 254 . Referring to Figure 6D, an exemplary concentration profile 308 of germanium in SiP layer 252 in device region 210A is shown. Notably, while the top of SiP layer 252 contains a limited amount of germanium due to germanium diffusing from germanium-containing layer 262 , the concentration at the bottom of SiP layer 252 near source/drain features 214 is depleted. In fact, the SiP layer 252 includes a Ge-free or substantially germanium-free region 256 (hereinafter referred to as the SiP layer 256) having a germanium content of less than about 10%. As will be discussed in detail below, since the selective etch process uses one or more chlorine-containing gases, only regions with germanium content greater than about 10% are removed.

参照图1B的方框110和图7A,方法100从装置区210A和210B以及装置区210B中的SiPGe层254和装置区210A中的SiP层252的一部分蚀刻含锗层262。方法100实施干式蚀刻工艺,其利用含氯气体270,类似于在方框108实施的包含含氯气体的气态混合物260,以移除含锗层262和SiPGe层254。在一些范例中,含氯气体270可以是HCl、Cl2、其他含氯气体或前述的组合。在许多实施例中,含氯气体270以高于工件200中存在的其他组件的速率选择性地蚀刻锗。换句话说,以高于不含锗的材料层的速率蚀刻包含锗的材料层,并且以高于包含较少锗的材料层的速率蚀刻包含更高量的锗的层。在示出的实施例中,虽然装置区210A中的SiP层252包含从含锗层262扩散的少量Ge(参照图6D中的浓度轮廓308),但SiPGe层254包含相较之下更大量的锗(如上所述至少10%),因此以高于SiP层252的速率被蚀刻。Referring to block 110 of FIG. 1B and FIG. 7A , method 100 etches germanium-containing layer 262 from device regions 210A and 210B and a portion of SiPGe layer 254 in device region 210B and SiP layer 252 in device region 210A. The method 100 implements a dry etch process that utilizes a chlorine-containing gas 270 , similar to the gaseous mixture 260 containing the chlorine-containing gas implemented at block 108 , to remove the germanium-containing layer 262 and the SiPGe layer 254 . In some examples, the chlorine-containing gas 270 may be HCl, Cl2, other chlorine - containing gases, or a combination of the foregoing. In many embodiments, chlorine-containing gas 270 selectively etches germanium at a rate higher than other components present in workpiece 200 . In other words, a layer of material containing germanium is etched at a higher rate than a layer of material containing no germanium, and a layer containing a higher amount of germanium is etched at a higher rate than a layer of material containing less germanium. In the illustrated embodiment, while SiP layer 252 in device region 210A includes a small amount of Ge diffused from germanium-containing layer 262 (refer to concentration profile 308 in FIG. 6D ), SiPGe layer 254 includes a comparatively larger amount Germanium (at least 10% as described above) is thus etched at a higher rate than SiP layer 252 .

因此,参照图7B,图7B是图7A的工件200的一部分的放大图,方框110的干式蚀刻工艺可以从装置区210B完全移除含锗层262和SiPGe层254,并且部分地从装置区210A移除SiP层252以形成SiP层256。在一些范例中,方法100的方框110可以移除高达约75%的SiP层252的tSiP,使得SiP层256的厚度t’SiP为tSiP的至少约25%。然而,本发明实施例考虑了可以通过干式蚀刻工艺移除较小厚度的实施例。因此,方框110的干式蚀刻工艺露出沟槽244中的源极/漏极部件216的顶表面,而SiP层256设置于源极/漏极部件214上方。对一些实施例而言,其中在进行方法100的方框106之前,额外的SiGe层或纯锗层形成于源极/漏极部件216上方,方框110的蚀刻工艺可移除额外SiGe层或纯锗层的一部分以防止消耗源极/漏极部件216。因此,在方框110的蚀刻工艺之后,SiGe或纯锗层的薄层(例如小于约10纳米)可留在源极/漏极部件216上方。7B , which is an enlarged view of a portion of the workpiece 200 of FIG. 7A , the dry etch process of block 110 may completely remove the germanium-containing layer 262 and the SiPGe layer 254 from the device region 210B, and partially from the device Region 210A removes SiP layer 252 to form SiP layer 256 . In some examples, block 110 of method 100 may remove up to about 75% of tSiP of SiP layer 252 such that SiP layer 256 has a thickness t'SiP of at least about 25% of tSiP. However, embodiments of the present invention contemplate embodiments in which smaller thicknesses may be removed by a dry etch process. Thus, the dry etch process of block 110 exposes the top surfaces of source/drain features 216 in trenches 244 with SiP layer 256 disposed over source/drain features 214 . For some embodiments, where an additional layer of SiGe or pure germanium is formed over source/drain features 216 prior to block 106 of method 100, the etch process of block 110 may remove the additional layer of SiGe or A portion of the pure germanium layer to prevent depletion of source/drain features 216 . Thus, a thin layer (eg, less than about 10 nanometers) of the SiGe or pure germanium layer may remain over the source/drain features 216 after the etch process of block 110 .

现在参照图1B和图8,如果尚未达到多个SiP层256的期望的厚度TSiP,则方法100可以重复方框106、108和110的工艺循环以形成在装置区210A中彼此重叠的多层SiP层256。然而,如果已经达到期望的厚度TSiP,则此方法进行到方框112。取决于期望的设计要求,期望的厚度TSiP可以是约4纳米和约6纳米。因为在升高的温度(从约摄氏300度至约摄氏500度)下实施每个SiP层252的沉积,所以循环的数量(对应TSiP的大小)可能受到包含在金属栅极结构220A和220B中的各种材料层的热预算(亦即容差(tolerance))的限制。因此,方法100被配置为:在不损害金属栅极结构220A和220B的完整性的情况下,使TSiP的量在本文讨论的范围内最大化。举例来说,如果期望的厚度TSiP超过约6纳米,则重复方框106、108和110处的工艺循环可能不想要地损坏附近装置部件(例如金属栅极结构)的结构和效能。另一方面,如果期望的厚度TSiP低于约4纳米,则提供的SiP层252不足以降低源极/漏极部件214与随后形成的源极/漏极接触件之间的接触电阻。值得注意的是,如参照图6和图7所描绘和讨论的,由于从沟槽244中重复移除含锗层262和SiPGe层254,SiP层不留在源极/漏极部件216上。Referring now to FIGS. 1B and 8 , if the desired thickness T SiP of the plurality of SiP layers 256 has not been reached, the method 100 may repeat the process cycle of blocks 106 , 108 and 110 to form multiple layers that overlap each other in the device region 210A SiP layer 256 . However, if the desired thickness T SiP has been reached, the method proceeds to block 112 . Depending on the desired design requirements, the desired thickness TSiP may be about 4 nanometers and about 6 nanometers. Because the deposition of each SiP layer 252 is carried out at elevated temperatures (from about 300 degrees Celsius to about 500 degrees Celsius), the number of cycles (corresponding to the size of T SiP ) may be limited by inclusion in the metal gate structures 220A and 220B The thermal budget (ie tolerance) limit of the various material layers in the . Accordingly, method 100 is configured to maximize the amount of T SiP within the scope discussed herein without compromising the integrity of metal gate structures 220A and 220B. For example, if the desired thickness TSiP exceeds about 6 nanometers, repeating the process cycles at blocks 106, 108, and 110 may undesirably damage the structure and performance of nearby device components (eg, metal gate structures). On the other hand, if the desired thickness TSiP is less than about 4 nanometers, the SiP layer 252 provided is insufficient to reduce the contact resistance between the source/drain features 214 and subsequently formed source/drain contacts. Notably, as depicted and discussed with reference to FIGS. 6 and 7 , due to repeated removal of germanium-containing layer 262 and SiPGe layer 254 from trench 244 , the SiP layer does not remain on source/drain features 216 .

现在参照图1B的方框112和图9,方法100在沟槽242中的SiP层256上方和沟槽244中的源极/漏极部件216上方形成硅化物层282。在示出的实施例中,硅化物层282设置于SiP层256和源极/漏极部件216上方。硅化物层282可以包含硅化镍、硅化钴、硅化钨、硅化钽、硅化钛、硅化铂、硅化铒、硅化钯、其他合适的硅化物或前述的组合。可以通过一系列工艺形成硅化物层282。首先,可以通过沉积工艺在SiP层256和源极/漏极部件216上沉积金属层280,沉积工艺例如化学气相沉积、原子层沉积、物理气相沉积、其他合适的工艺或前述的组合。金属层可包含镍、钴、钨、钽、钛、铂、铒、钯、其他合适的金属或前述的组合。然后,退火工件200以允许金属层和SiP层256和源极/漏极部件216的半导体材料反应。然后,移除未反应的金属层,留下位于SiP层256和源极/漏极部件216上方的硅化物层282。Referring now to block 112 of FIG. 1B and to FIG. 9 , method 100 forms a silicide layer 282 over SiP layer 256 in trench 242 and over source/drain features 216 in trench 244 . In the illustrated embodiment, silicide layer 282 is disposed over SiP layer 256 and source/drain features 216 . The suicide layer 282 may comprise nickel suicide, cobalt suicide, tungsten suicide, tantalum suicide, titanium suicide, platinum suicide, erbium suicide, palladium suicide, other suitable suicides, or a combination of the foregoing. The silicide layer 282 may be formed through a series of processes. First, metal layer 280 may be deposited over SiP layer 256 and source/drain features 216 by a deposition process such as chemical vapor deposition, atomic layer deposition, physical vapor deposition, other suitable processes, or combinations of the foregoing. The metal layer may comprise nickel, cobalt, tungsten, tantalum, titanium, platinum, erbium, palladium, other suitable metals, or combinations of the foregoing. The workpiece 200 is then annealed to allow the metal layer and the semiconductor material of the SiP layer 256 and source/drain features 216 to react. Then, the unreacted metal layer is removed, leaving silicide layer 282 over SiP layer 256 and source/drain features 216 .

参照图1的方框114和图10,方法100在沟槽242和244中沉积导电材料292,使得导电材料292接触层间介电层240、栅极间隔物212和硅化物层282。导电材料292可以包含任何合适的材料,例如铜(Cu)、钨(W)、钴(Co)、钌(Ru)、铝(Al)、其他合适的导电材料或前述的组合。然后,参照图11,其示出工件200的例示性实施例,方法100进行一或多个化学机械平坦化工艺以移除多余的导电材料292,并且在装置区210A和210B中形成源极/漏极接触件294。值得注意的是,装置区210B中的源极/漏极接触件294的底表面低于装置区210A中的源极/漏极接触件294的底表面。Referring to block 114 of FIG. 1 and FIG. 10 , method 100 deposits conductive material 292 in trenches 242 and 244 such that conductive material 292 contacts interlayer dielectric layer 240 , gate spacer 212 and silicide layer 282 . Conductive material 292 may comprise any suitable material, such as copper (Cu), tungsten (W), cobalt (Co), ruthenium (Ru), aluminum (Al), other suitable conductive materials, or combinations of the foregoing. Then, referring to FIG. 11, which shows an exemplary embodiment of workpiece 200, method 100 performs one or more chemical mechanical planarization processes to remove excess conductive material 292 and to form source/s in device regions 210A and 210B. Drain contact 294 . Notably, the bottom surfaces of source/drain contacts 294 in device region 210B are lower than the bottom surfaces of source/drain contacts 294 in device region 210A.

参照方框116,方法100对工件200进行额外工艺步骤。举例来说,方法100可以进行至形成互连结构以将各种装置耦合到集成电路。互连结构包含用于水平耦合的多个金属层中的金属线以及垂直耦合的导孔(vias)/接触件(例如将金属栅极结构220A和220B连接至底部金属层的源极/漏极接触件),导孔/接触件用于底部金属层和形成于基底202上的装置部件之间、底部金属层和源极/漏极接触件294之间或相邻金属层之间。互连结构包含一或多种合适的导电材料,例如铜(Cu)、钴(Co)、钌(Ru)、铝(Al)、钨(W)或其他合适的导电材料。互连结构的形成可以通过镶嵌(damascene)工艺,例如单镶嵌工艺或双镶嵌工艺,其包含微影图案化、蚀刻沉积和化学机械平坦化。举例来说,可以使用合适的工艺沉积导电材料,例如化学气相沉积、物理气相沉积、电镀及/或其他合适的工艺。示出的工件200仅是方法100的一些实施例的范例。方法100可以包含各种其他实施例而未悖离本发明实施例的范围。Referring to block 116 , the method 100 performs additional process steps on the workpiece 200 . For example, method 100 may proceed by forming interconnect structures to couple various devices to the integrated circuit. The interconnect structure includes metal lines in multiple metal layers for horizontal coupling and vias/contacts for vertical coupling (eg, connecting the metal gate structures 220A and 220B to the source/drain of the bottom metal layer) contacts), vias/contacts are used between the bottom metal layer and device components formed on substrate 202, between the bottom metal layer and source/drain contacts 294, or between adjacent metal layers. The interconnect structure includes one or more suitable conductive materials, such as copper (Cu), cobalt (Co), ruthenium (Ru), aluminum (Al), tungsten (W), or other suitable conductive materials. The interconnect structure can be formed by a damascene process, such as a single damascene process or a dual damascene process, which includes lithographic patterning, etch deposition, and chemical mechanical planarization. For example, the conductive material may be deposited using a suitable process, such as chemical vapor deposition, physical vapor deposition, electroplating, and/or other suitable processes. The illustrated workpiece 200 is merely an example of some embodiments of the method 100 . Method 100 may encompass various other embodiments without departing from the scope of embodiments of the present invention.

此外,如上所示的工件200可以是在集成电路制造期间制造的中间装置或中间装置的一部分,其可以包含静态随机存取存储装置(static random access memory,SRAM)及/或逻辑电路、被动部件,例如电阻器、电容和电感器、以及主动元件,例如p型场效晶体管、n型场效晶体管、多栅极(multi-gate)场效晶体管,例如鳍式场效晶体管、金属氧化物半导体场效晶体管(metal-oxide semiconductor field effect transistors,MOSFET)、互补式金属氧化物半导体(complementary metal-oxide semiconductor,CMOS)晶体管、双极性(bipolar)晶体管、高压晶体管、高频晶体管、其他存储装置单元及前述的组合。Furthermore, workpiece 200 as shown above may be or be part of an intermediate device fabricated during integrated circuit fabrication, which may include static random access memory (SRAM) and/or logic circuits, passive components , such as resistors, capacitors and inductors, and active components such as p-type field effect transistors, n-type field effect transistors, multi-gate field effect transistors, such as fin field effect transistors, metal oxide semiconductors Metal-oxide semiconductor field effect transistors (MOSFET), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory devices units and combinations of the foregoing.

本发明实施例提供半导体结构及其制造方法。本发明实施例包含在n型场效晶体管源极/漏极部件上方选择性地形成包含硅和磷(SiP)的n型外延半导体层,而不是在P场效晶体管源极/漏极部件上方。相较于在较高工艺温度下形成的源极/漏极部件,本发明实施例的n型外延半导体层可以在较低的工艺温度下形成,借此降低SiP的电阻率。本发明实施例提供各种优点,但是并非所有实施例都需要有特定优点。在至少一些实施例中,通过使用本发明实施例的方法选择性地形成n型外延半导体层,可以减少在装置生产工艺期间引起的热损坏、工艺复杂性和生产成本,并且可以提高装置效能。Embodiments of the present invention provide a semiconductor structure and a method for fabricating the same. Embodiments of the present invention include selectively forming an n-type epitaxial semiconductor layer comprising silicon and phosphorous (SiP) over the n-type FET source/drain features, rather than over the p-FET source/drain features . Compared to source/drain features formed at higher process temperatures, the n-type epitaxial semiconductor layers of embodiments of the present invention may be formed at lower process temperatures, thereby reducing the resistivity of SiP. Embodiments of the invention provide various advantages, but not all embodiments require a particular advantage. In at least some embodiments, by selectively forming n-type epitaxial semiconductor layers using the methods of embodiments of the present invention, thermal damage, process complexity, and production costs incurred during device production processes can be reduced, and device performance can be improved.

在一方面,本发明实施例提供一种方法,此方法包含:提供p型源极/漏极外延部件和n型源极/漏极外延部件,在n型源极/漏极外延部件和p型源极/漏极外延部件上方形成半导体材料层,用含锗气体处理半导体材料层,其中半导体材料层的处理在半导体材料层上方形成含锗层,蚀刻含锗层,其中含锗层的蚀刻移除在n型源极/漏极外延部件上方形成的含锗层和在p型源极/漏极外延部件上形成的半导体材料层,以及在留在n型源极/漏极外延部件上方的半导体材料层上方形成第一源极/漏极接触件,并且在p型源极/漏极外延部件上方形成第二源极/漏极接触件。在一些实施例中,在第一温度形成n型源极/漏极外延部件,且在第二温度形成半导体材料层,其中第二温度低于第一温度。在一些实施例中,此方法还包含:在形成第一和第二源极/漏极接触件之前,在留在n型源极/漏极外延部件上方的半导体材料层上方和在p型源极/漏极外延部件上方分别形成硅化物层。In one aspect, embodiments of the present invention provide a method comprising: providing a p-type source/drain epitaxial feature and an n-type source/drain epitaxial feature, where the n-type source/drain epitaxial feature and the p-type source/drain epitaxial feature are provided. Forming a layer of semiconductor material over the source/drain epitaxial component, treating the layer of semiconductor material with a germanium-containing gas, wherein the treatment of the layer of semiconductor material forms a layer of germanium over the layer of semiconductor material, etching the layer of germanium, wherein etching of the germanium-containing layer removing the germanium-containing layer formed over the n-type source/drain epitaxial features and the semiconductor material layer formed over the p-type source/drain epitaxial features, and the remaining layers over the n-type source/drain epitaxial features A first source/drain contact is formed over the layer of semiconductor material, and a second source/drain contact is formed over the p-type source/drain epitaxial feature. In some embodiments, the n-type source/drain epitaxial features are formed at a first temperature, and the semiconductor material layer is formed at a second temperature, wherein the second temperature is lower than the first temperature. In some embodiments, the method further includes, prior to forming the first and second source/drain contacts, over the layer of semiconductor material remaining over the n-type source/drain epitaxial features and over the p-type source A silicide layer is formed over the /drain epitaxial features, respectively.

在一些实施例中,半导体材料层的组成类似于n型源极/漏极外延部件的组成。在一些实施例中,半导体材料层的组成相同于n型源极/漏极外延部件的组成。在一些实施例中,半导体材料层包含硅和磷。在进一步的实施例中,半导体材料层的电阻率低于n型源极/漏极外延部件的电阻率。In some embodiments, the composition of the semiconductor material layer is similar to the composition of the n-type source/drain epitaxial features. In some embodiments, the composition of the semiconductor material layer is the same as the composition of the n-type source/drain epitaxial features. In some embodiments, the layer of semiconductor material includes silicon and phosphorous. In further embodiments, the resistivity of the layer of semiconductor material is lower than the resistivity of the n-type source/drain epitaxial features.

在一些实施例中,在形成含锗层之后,在p型源极/漏极外延部件上方形成的半导体材料层中的锗浓度大于在n型源极/漏极外延部件上方形成的半导体材料层中的锗浓度。In some embodiments, after the germanium-containing layer is formed, the concentration of germanium in the layer of semiconductor material formed over the p-type source/drain epitaxial features is greater than that of the layer of semiconductor material formed over the n-type source/drain epitaxial features Germanium concentration in .

在一些实施例中,半导体材料层的处理包含进行蚀刻工艺。在进一步的实施例中,蚀刻工艺实施含氯气体。In some embodiments, the processing of the layer of semiconductor material includes performing an etching process. In a further embodiment, the etching process is performed with a chlorine-containing gas.

在另一方面,本发明实施例提供一种方法,此方法包含在层间介电层中形成第一沟槽和第二沟槽,以分别露出在第一鳍片上方形成的第一源极/漏极外延部件和在第二鳍片上方形成第二源极/漏极外延部件,在第一沟槽和第二沟槽中沉积n型半导体层,在n型半导体层上方形成含锗层,从第一沟槽中移除含锗层,其中此移除步骤从第二沟槽中移除n型半导体层,在第一沟槽中的n型半导体层上方和在第二沟槽中的第二源极/漏极外延部件上方形成硅化物层,以及分别在第一沟槽和第二沟槽中的硅化物层上方形成源极/漏极接触件。在一些实施例中,第一源极/漏极外延部件是n型,且第二源极/漏极外延部件是p型。In another aspect, embodiments of the present invention provide a method including forming a first trench and a second trench in an interlayer dielectric layer to respectively expose a first source formed over a first fin /Drain epitaxial feature and forming a second source/drain epitaxial feature over the second fin, depositing an n-type semiconductor layer in the first trench and the second trench, forming a germanium-containing layer over the n-type semiconductor layer , removing the germanium-containing layer from the first trench, wherein the removal step removes the n-type semiconductor layer from the second trench, over the n-type semiconductor layer in the first trench and in the second trench A silicide layer is formed over the second source/drain epitaxial features in the first and second trenches, and source/drain contacts are formed over the silicide layers in the first and second trenches, respectively. In some embodiments, the first source/drain epitaxial feature is n-type and the second source/drain epitaxial feature is p-type.

在一些实施例中,在形成含锗层之后,在第二沟槽中的n型半导体层包含锗。In some embodiments, after the germanium-containing layer is formed, the n-type semiconductor layer in the second trench includes germanium.

在一些实施例中,其中含锗层是第一含锗层且第二源极/漏极外延部件包含硅锗半导体层,此方法还包含在沉积n型半导体层之前,在第二源极/漏极外延部件上方沉积第二含锗层,使得第二含锗层中的锗浓度高于第二源极/漏极外延部件中的锗浓度。在进一步的实施例中,第一含锗层包含硅锗,且第二含锗层包含硅锗、纯锗或前述的组合。In some embodiments, wherein the germanium-containing layer is a first germanium-containing layer and the second source/drain epitaxial feature includes a silicon germanium semiconductor layer, the method further includes, prior to depositing the n-type semiconductor layer, in the second source/drain A second germanium-containing layer is deposited over the drain epitaxial feature such that the germanium concentration in the second germanium-containing layer is higher than the germanium concentration in the second source/drain epitaxial feature. In further embodiments, the first germanium-containing layer comprises silicon germanium, and the second germanium-containing layer comprises silicon germanium, pure germanium, or a combination thereof.

在一些实施例中,其中在n型半导体层是n型第一半导体层且含锗层是第一含锗层,此方法还包含在形成硅化物层之前,在第一沟槽中的第一半导体层上方和在第二沟槽中的第二源极/漏极外延部件上方沉积第二n型半导体层,在第二n型半导体层上方形成第二含锗层,以及从第一沟槽和第二沟槽移除第二含锗层,其中此移除步骤从第二沟槽移除第二n型半导体层。In some embodiments, wherein the n-type semiconductor layer is the n-type first semiconductor layer and the germanium-containing layer is the first germanium-containing layer, the method further includes, prior to forming the silicide layer, a first trench in the first trench depositing a second n-type semiconductor layer over the semiconductor layer and over the second source/drain epitaxial feature in the second trench, forming a second germanium-containing layer over the second n-type semiconductor layer, and from the first trench and the second trench to remove the second germanium-containing layer, wherein the removing step removes the second n-type semiconductor layer from the second trench.

在一些实施例中,其中半导体层包含硅磷(SiP),n型半导体层的沉积在层间介电层上方形成非晶SiP层。在进一步的实施例中,含锗层的形成从层间介电层移除非晶SiP层。In some embodiments, wherein the semiconductor layer comprises silicon phosphorus (SiP), the deposition of the n-type semiconductor layer forms an amorphous SiP layer over the interlayer dielectric layer. In a further embodiment, the formation of the germanium-containing layer removes the amorphous SiP layer from the interlayer dielectric layer.

在又一方面,本发明实施例提供一种半导体结构,此半导体结构包含第一导电类型的第一源极/漏极外延部件设置在半导体层中,其中第一源极/漏极外延部件具有第一电阻率,第二导电类型的第二源极/漏极外延部件设置在半导体层中,第二导电类型不同于第一导电类型,其中第一源极/漏极和第二源极/漏极外延部件设置成邻近其各自的金属栅极结构,至少一外延半导体材料层设置在第一源极/漏极外延部件上方,以及第一源极/漏极接触件和第二源极/漏极接触件分别设置在外延半导体材料层上方和第二源极/漏极外延部件上方。在一些实施例中,外延半导体材料层具有低于第一电阻率的第二电阻率。在一些实施例中,第二源极/漏极接触件的底表面低于第一源极/漏极接触件的底表面。在一些实施例中,第一导电类型是n型且第二导电类型是p型。In yet another aspect, embodiments of the present invention provide a semiconductor structure including a first source/drain epitaxial feature of a first conductivity type disposed in a semiconductor layer, wherein the first source/drain epitaxial feature has A first resistivity, a second source/drain epitaxial feature of a second conductivity type is disposed in the semiconductor layer, the second conductivity type is different from the first conductivity type, wherein the first source/drain and the second source/drain Drain epitaxial features are disposed adjacent to their respective metal gate structures, at least one layer of epitaxial semiconductor material is disposed over the first source/drain epitaxial features, and first source/drain contacts and second source/drain contacts Drain contacts are disposed over the epitaxial semiconductor material layer and over the second source/drain epitaxial features, respectively. In some embodiments, the layer of epitaxial semiconductor material has a second resistivity lower than the first resistivity. In some embodiments, the bottom surface of the second source/drain contact is lower than the bottom surface of the first source/drain contact. In some embodiments, the first conductivity type is n-type and the second conductivity type is p-type.

在一些实施例中,n型源极/漏极外延部件和外延半导体材料层包含硅和磷。在进一步的实施例中,n型源极/漏极外延部件中的磷浓度类似于所述至少一外延半导体材料层中的磷浓度。In some embodiments, the n-type source/drain epitaxial features and epitaxial semiconductor material layers comprise silicon and phosphorous. In further embodiments, the phosphorus concentration in the n-type source/drain epitaxial features is similar to the phosphorus concentration in the at least one epitaxial semiconductor material layer.

在一些实施例中,p型源极/漏极外延部件包含硅锗,且此半导体结构还包含设置在p型源极/漏极外延部件上方的含锗层,其中含锗层中的锗浓度大于p型源极/漏极外延部件中的锗浓度。In some embodiments, the p-type source/drain epitaxial feature includes silicon germanium, and the semiconductor structure further includes a germanium-containing layer disposed over the p-type source/drain epitaxial feature, wherein the germanium-containing layer has a germanium concentration Greater than the germanium concentration in the p-type source/drain epitaxial features.

在一些实施例中,此半导体结构还包含设置在所述至少一外延半导体材料层和第一源极/漏极接触件之间以及p型源极/漏极外延部件和第二源极/漏极接触件之间的硅化物层。In some embodiments, the semiconductor structure further includes a p-type source/drain epitaxial feature and a second source/drain disposed between the at least one layer of epitaxial semiconductor material and the first source/drain contact silicide layer between pole contacts.

以上概述数个实施例的部件,使得在本公开所属技术领域中技术人员可以更加理解本发明实施例的面向。在本公开所属技术领域中技术人员应该理解,他们能以本发明实施例为基础,设计或修改其他工艺和结构,以达到与在此介绍的实施例相同的目的及/或优势。本公开所属技术领域中技术人员也应该理解到,此类等效的结构并未悖离本公开的构思与范围,且他们能在不违背本公开的构思和范围下,做各式各样的改变、取代和替换。The components of several embodiments are outlined above so that those skilled in the art to which the present disclosure pertains may better understand aspects of the embodiments of the present invention. Those skilled in the art to which this disclosure pertains should appreciate that they can, based on the embodiments of the present invention, design or modify other processes and structures to achieve the same objectives and/or advantages of the embodiments described herein. Those skilled in the art to which the present disclosure pertains should also appreciate that such equivalent structures do not depart from the spirit and scope of the present disclosure, and they can make various modifications without departing from the spirit and scope of the present disclosure. Alteration, substitution and substitution.

Claims (1)

1.一种半导体结构的制造方法,包括:1. A method of manufacturing a semiconductor structure, comprising: 提供在一第一温度形成的一p型源极/漏极外延部件和一n型源极/漏极外延部件;providing a p-type source/drain epitaxial feature and an n-type source/drain epitaxial feature formed at a first temperature; 在一第二温度形成一半导体材料层于该n型源极/漏极外延部件和该p型源极/漏极外延部件上方,其中该半导体材料层的组成类似于该n型源极/漏极外延部件的组成,且其中该第二温度小于该第一温度;forming a layer of semiconductor material over the n-type source/drain epitaxial feature and the p-type source/drain epitaxial feature at a second temperature, wherein the composition of the semiconductor material layer is similar to the n-type source/drain the composition of an extremely epitaxial component, and wherein the second temperature is less than the first temperature; 以含锗气体处理该半导体材料层,其中该半导体材料层的处理在该半导体材料层上方形成一含锗层;treating the semiconductor material layer with a germanium-containing gas, wherein the treatment of the semiconductor material layer forms a germanium-containing layer over the semiconductor material layer; 蚀刻该含锗层,其中该含锗层的蚀刻移除形成于该n型源极/漏极外延部件上方的该含锗层和形成于该p型源极/漏极外延部件上方的该半导体材料层;以及Etching the germanium-containing layer, wherein the etching of the germanium-containing layer removes the germanium-containing layer formed over the n-type source/drain epitaxial feature and the semiconductor formed over the p-type source/drain epitaxial feature material layers; and 在留在该n型源极/漏极外延部件上方的该半导体材料层上方形成一第一源极/漏极接触件和在该p型源极/漏极外延部件上方形成一第二源极/漏极接触件。A first source/drain contact is formed over the layer of semiconductor material remaining over the n-type source/drain epitaxial feature and a second source/drain contact is formed over the p-type source/drain epitaxial feature drain contact.
CN201910419201.8A 2018-06-28 2019-05-20 Manufacturing method of semiconductor structure Pending CN110660845A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862691084P 2018-06-28 2018-06-28
US62/691,084 2018-06-28
US16/216,359 US11410890B2 (en) 2018-06-28 2018-12-11 Epitaxial layers in source/drain contacts and methods of forming the same
US16/216,359 2018-12-11

Publications (1)

Publication Number Publication Date
CN110660845A true CN110660845A (en) 2020-01-07

Family

ID=69028605

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910419201.8A Pending CN110660845A (en) 2018-06-28 2019-05-20 Manufacturing method of semiconductor structure

Country Status (1)

Country Link
CN (1) CN110660845A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112588308A (en) * 2020-11-09 2021-04-02 中国科学院深圳先进技术研究院 SiP material and preparation method and application thereof
CN113054019A (en) * 2020-04-09 2021-06-29 台湾积体电路制造股份有限公司 Semiconductor device and method of forming a semiconductor device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113054019A (en) * 2020-04-09 2021-06-29 台湾积体电路制造股份有限公司 Semiconductor device and method of forming a semiconductor device
US11935932B2 (en) 2020-04-09 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN112588308A (en) * 2020-11-09 2021-04-02 中国科学院深圳先进技术研究院 SiP material and preparation method and application thereof

Similar Documents

Publication Publication Date Title
TWI655681B (en) Semiconductor structure manufacturing method
CN108231684B (en) Source and drain formation techniques for finfets
CN107017252B (en) Integrated circuit structure with solid phase diffusion and method
CN105390398B (en) Metal inert epitaxial structure
US20220359310A1 (en) Epitaxial Layers In Source/Drain Contacts And Methods Of Forming The Same
CN103579176B (en) Contact structure of semiconductor device
US10546784B2 (en) Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same
CN104916542B (en) The structure and its manufacturing method of semiconductor devices
CN111092122A (en) Method of forming a semiconductor structure
US12230692B2 (en) Self-aligned inner spacer on gate-all-around structure and methods of forming the same
KR102041354B1 (en) Conformal transfer doping method for fin-like field effect transistor
US11908685B2 (en) Methods of reducing gate spacer loss during semiconductor manufacturing
CN112242356A (en) Semiconductor device and method for manufacturing the same
CN114464617A (en) Semiconductor structures and methods of forming semiconductor devices
US12159924B2 (en) Structure and method for multigate devices with suppressed diffusion
CN110660845A (en) Manufacturing method of semiconductor structure
US20230420506A1 (en) Semiconductor device and manufacturing method thereof
TWI809447B (en) Semiconductor structure and method for forming thereof
CN113594157B (en) Multi-gate device and method of forming the same
CN220510030U (en) Semiconductor structure
CN220753431U (en) Semiconductor device structure
CN113707714B (en) Semiconductor structure and forming method thereof
CN117116766A (en) Semiconductor structure and manufacturing method thereof
CN115206882A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200107