CN108847394B - 通过原子层沉积形成平滑和共形的钴膜的方法和装置 - Google Patents
通过原子层沉积形成平滑和共形的钴膜的方法和装置 Download PDFInfo
- Publication number
- CN108847394B CN108847394B CN201810358633.8A CN201810358633A CN108847394B CN 108847394 B CN108847394 B CN 108847394B CN 201810358633 A CN201810358633 A CN 201810358633A CN 108847394 B CN108847394 B CN 108847394B
- Authority
- CN
- China
- Prior art keywords
- cobalt
- reactant
- dose
- nitrogen
- feature
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000010941 cobalt Substances 0.000 title claims abstract description 186
- 229910017052 cobalt Inorganic materials 0.000 title claims abstract description 186
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 title claims abstract description 180
- 238000000034 method Methods 0.000 title claims abstract description 174
- 238000000231 atomic layer deposition Methods 0.000 title abstract description 48
- 239000000376 reactant Substances 0.000 claims abstract description 117
- 230000008569 process Effects 0.000 claims abstract description 105
- 239000002243 precursor Substances 0.000 claims abstract description 98
- 238000012545 processing Methods 0.000 claims abstract description 52
- 239000000203 mixture Substances 0.000 claims description 54
- 239000007789 gas Substances 0.000 claims description 53
- 239000000758 substrate Substances 0.000 claims description 52
- 230000008021 deposition Effects 0.000 claims description 32
- -1 cobalt cyclopentadienyl dicarbonate Chemical group 0.000 claims description 30
- 238000010926 purge Methods 0.000 claims description 28
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 22
- 239000003966 growth inhibitor Substances 0.000 claims description 18
- 230000004888 barrier function Effects 0.000 claims description 10
- 238000004140 cleaning Methods 0.000 claims description 10
- 125000000217 alkyl group Chemical group 0.000 claims description 9
- 239000001257 hydrogen Substances 0.000 claims description 9
- 229910052739 hydrogen Inorganic materials 0.000 claims description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical group N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 8
- 229910021529 ammonia Inorganic materials 0.000 claims description 4
- 125000004435 hydrogen atom Chemical group [H]* 0.000 claims 2
- 238000000151 deposition Methods 0.000 abstract description 29
- 238000004519 manufacturing process Methods 0.000 abstract description 10
- 229910052751 metal Inorganic materials 0.000 abstract description 9
- 239000002184 metal Substances 0.000 abstract description 9
- 238000006243 chemical reaction Methods 0.000 abstract description 4
- 238000004381 surface treatment Methods 0.000 abstract description 4
- 230000006911 nucleation Effects 0.000 abstract description 3
- 238000010899 nucleation Methods 0.000 abstract description 3
- 239000003112 inhibitor Substances 0.000 description 36
- 235000012431 wafers Nutrition 0.000 description 26
- 238000009472 formulation Methods 0.000 description 17
- 239000007788 liquid Substances 0.000 description 16
- 239000012159 carrier gas Substances 0.000 description 12
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- 239000000463 material Substances 0.000 description 11
- 239000004065 semiconductor Substances 0.000 description 10
- 238000002156 mixing Methods 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 238000010586 diagram Methods 0.000 description 8
- 230000008016 vaporization Effects 0.000 description 8
- 238000011049 filling Methods 0.000 description 7
- 238000009834 vaporization Methods 0.000 description 7
- 238000009713 electroplating Methods 0.000 description 6
- 150000002431 hydrogen Chemical group 0.000 description 6
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical group [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 6
- 229910052721 tungsten Inorganic materials 0.000 description 6
- 239000010937 tungsten Substances 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 5
- 229910052786 argon Inorganic materials 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 150000002430 hydrocarbons Chemical group 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 3
- 238000004891 communication Methods 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 238000005429 filling process Methods 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 238000003917 TEM image Methods 0.000 description 2
- 238000000026 X-ray photoelectron spectrum Methods 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000003638 chemical reducing agent Substances 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 125000003187 heptyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 238000010348 incorporation Methods 0.000 description 2
- 230000002401 inhibitory effect Effects 0.000 description 2
- 239000003446 ligand Substances 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 125000002347 octyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 125000000962 organic group Chemical group 0.000 description 2
- 150000002902 organometallic compounds Chemical group 0.000 description 2
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000002360 preparation method Methods 0.000 description 2
- 238000012163 sequencing technique Methods 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- 238000007740 vapor deposition Methods 0.000 description 2
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 1
- PPWNCLVNXGCGAF-UHFFFAOYSA-N 3,3-dimethylbut-1-yne Chemical group CC(C)(C)C#C PPWNCLVNXGCGAF-UHFFFAOYSA-N 0.000 description 1
- WSNMPAVSZJSIMT-UHFFFAOYSA-N COc1c(C)c2COC(=O)c2c(O)c1CC(O)C1(C)CCC(=O)O1 Chemical compound COc1c(C)c2COC(=O)c2c(O)c1CC(O)C1(C)CCC(=O)O1 WSNMPAVSZJSIMT-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 125000000746 allylic group Chemical group 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 150000001768 cations Chemical class 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 150000001868 cobalt Chemical class 0.000 description 1
- 150000004700 cobalt complex Chemical class 0.000 description 1
- 230000003750 conditioning effect Effects 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000006471 dimerization reaction Methods 0.000 description 1
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 1
- RQFCJASXJCIDSX-UUOKFMHZSA-N guanosine 5'-monophosphate Chemical compound C1=2NC(N)=NC(=O)C=2N=CN1[C@@H]1O[C@H](COP(O)(O)=O)[C@@H](O)[C@H]1O RQFCJASXJCIDSX-UUOKFMHZSA-N 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000005764 inhibitory process Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 229930195734 saturated hydrocarbon Natural products 0.000 description 1
- 125000002914 sec-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 125000000999 tert-butyl group Chemical group [H]C([H])([H])C(*)(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/48—Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups H01L21/18 - H01L21/326 or H10D48/04 - H10D48/07
- H01L21/4814—Conductive parts
- H01L21/4846—Leads on or in insulating or insulated substrates, e.g. metallisation
- H01L21/4853—Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/16—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/18—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45561—Gas plumbing upstream of the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/48—Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the groups H01L21/18 - H01L21/326 or H10D48/04 - H10D48/07
- H01L21/4814—Conductive parts
- H01L21/4846—Leads on or in insulating or insulated substrates, e.g. metallisation
- H01L21/486—Via connections through the substrate with or without pins
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Ceramic Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
本发明涉及通过原子层沉积形成平滑和共形的钴膜的方法和装置。本发明提供了在特征中沉积钴的原子层沉积(ALD)方法。该方法涉及ALD循环过程中的两步表面处理,一步涉及共反应气体与被吸附的钴前体的反应,另一步涉及钴表面上的生长抑制反应物气体。生长抑制反应物气体显著降低钴的生长速率,生成高度共形的钴膜。所描述的ALD工艺通过单独的表面处理和低的工艺温度实现膜成核、台阶覆盖率和形态学方面的改进的可控性。这些方法适用于各种特征填充应用,包括适用于前端制程(FEOL)处理中的金属栅极的制造/触点填充、以及后端制程(BEOL)处理中的通孔/线填充。
Description
技术领域
本发明总体上涉及半导体领域,具体涉及通过原子层沉积形成平滑和共形的钴膜的方法和装置。
背景技术
半导体设备制造经常涉及含钨材料的沉积,以用于相邻的金属层之间的水平互连、通孔、以及硅衬底上的第一金属层与设备之间的触点。在常规沉积处理中,通过将衬底暴露至含钨前体和还原剂中,钨在化学气相沉积(CVD)处理中沉积。
然而,随着设备缩小,特征变得更窄并且深宽比显著增加,导致在沉积钨中存在各种挑战。结果,谋求替代的材料来填充特征。由于钴的低电子平均自由程和良好的电迁移性能,钴被认为是有前途的候选者。然而,目前的钴沉积工艺存在各种挑战,包括特征中的非共形沉积,这可能导致特征入口处的突出端和特征底部上的覆盖不足中的一者或两者。非共形沉积会导致特征中的空洞。
发明内容
本发明提供了钴膜的原子层沉积(ALD)的方法及相关装置。本发明公开的主题的一个方面涉及一种在特征中沉积钴的方法。该方法涉及:提供具有一个或多个特征的衬底,每个特征具有特征开口;以及执行用以沉积与所述一个或多个特征共形的钴层的多个循环。每个循环包括:将所述特征暴露于钴前体剂料以在所述一个或多个特征上形成被吸附的钴前体层;将所述被吸附的钴前体层暴露于共反应物剂料以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层反应;以及将所述特征暴露于所述共反应物和含氮钴生长抑制剂的混合物剂料。
在一些实施方式中,将所述被吸附的钴前体暴露于所述共反应物剂料以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层反应在将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述混合物剂料之前进行。
在一些实施方式中,将所述被吸附的钴前体暴露于所述共反应物剂料以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层反应在将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述混合物剂料之后进行。
在一些实施方式中,所述钴前体具有根据(I)的结构:
其中R1是C1-C8-烷基,R2是C1-C8烷基,x是0、1或者2;并且y是0或者1。
在一些实施方式中,所述钴前体具有根据(II)的结构
在一些实施方式中,所述钴前体选自二羰基环戊二烯钴、羰基钴、脒基钴前体、二氮杂二烯钴复合物和脒基钴/胍基钴前体。
根据多种实施方式,在所述多个循环期间的所述衬底温度保持在不超过150℃的温度,在不超过100℃的温度,或者在不超过90℃的温度。
在一些实施方式中,所述钴层被沉积在阻挡层上。
在一些实施方式中,所述共反应物是氢(H2)。在一些实施方式中,所述共反应物是氢(H2),并且所述含氮钴抑制剂是氨(NH3)。在一些实施方式中,所述NH3的体积为介于所述混合物的体积的0.5%至20%之间,或者介于所述混合物的体积的0.5%至5%之间。
在一些实施方式中,每个循环还涉及在将所述特征暴露于所述所述钴前体剂料之后并且在将所述被吸附的钴前体暴露于所述共反应物剂料之前,清扫其中容纳所述衬底的室。
在一些实施方式中,每个循环还涉及在将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述混合物剂料之后,清扫其中容纳所述衬底的室。
在一些实施方式中,在将所述特征暴露于所述共反应物剂料和将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述混合物剂料之间不进行清扫。
本公开的另一个方面涉及一种方法,其包括:(a)将钴前体剂料引入处理室;(b)在引入所述钴前体剂料之后,清扫所述处理室;(c)在清扫所述处理室之后,引入H2共反应物剂料;(d)在引入所述H2共反应物剂料之后,引入所述H2共反应物和含氮化合物的混合物剂料,其中所述含氮化合物的体积为介于所述H2共反应物和所述含氮化合物的体积总量的0.5%至20%之间;(e)在引入所述H2共反应物和所述含氮化合物的所述混合物剂料之后,清扫所述处理室;以及重复(a)-(e)一次或多次。
本公开的另一个方面涉及一种装置,其包括:处理室;一个或多个气体入口,其通向所述处理室和相关的流量控制硬件内;和具有至少一个处理器和存储器的控制器,其中,所述至少一个处理器和所述存储器彼此通信地连接,所述至少一个处理器至少能操作地与所述流量控制硬件连接,并且所述存储器存储用于以下操作的计算机可执行指令:(a)将钴前体剂料引入处理室;(b)在引入所述钴前体剂料之后,清扫所述处理室;(c)在清扫所述处理室之后,引入H2共反应物剂料;(d)在引入所述H2共反应物剂料之后,引入所述H2共反应物和含氮化合物的混合物剂料,其中所述含氮化合物的体积为介于所述H2共反应物和所述含氮化合物的体积总量的0.5%至20%之间;(e)在引入所述H2共反应物和所述含氮化合物的所述混合物剂料之后,清扫所述处理室;以及重复(a)-(e)一次或多次。
具体而言,本发明的一些方面可以阐述如下:
1.一种方法,其包括:
提供具有一个或多个特征的衬底,每个特征包括特征开口;以及执行用以沉积与所述一个或多个特征共形的钴层的多个循环,其中每个循环包括:
将所述特征暴露于一定剂量的钴前体以在所述一个或多个特征上形成被吸附的钴前体层;
将所述被吸附的钴前体层暴露于一定剂量的共反应物以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层反应;以及
将所述特征暴露于所述共反应物和含氮钴生长抑制剂的一定剂量的混合物。
2.根据条款1所述的方法,其中将所述被吸附的钴前体暴露于所述一定剂量的共反应物以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层反应在将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述一定剂量的混合物之前进行。
3.根据条款1所述的方法,其中将所述被吸附的钴前体暴露于所述一定剂量的共反应物以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层反应在将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述一定剂量的混合物之后进行。
4.根据条款1所述的方法,其中所述钴前体具有根据(I)的结构:
其中R1是C1-C8-烷基,R2是C1-C8烷基,x是0、1或者2;并且y是0或者1。
5.根据条款1所述的方法,其中所述钴前体具有根据(II)的结构
6.根据条款1所述的方法,其中所述钴前体选自二羰基环戊二烯钴、羰基钴、脒基钴前体、二氮杂二烯钴复合物和脒基钴/胍基钴前体。
7.根据条款1至6中任一项所述的方法,其中在所述多个循环期间的所述衬底温度保持在不超过150℃的温度。
8.根据条款1-6中任一项所述的方法,其中在所述多个循环期间的所述衬底温度保持在不超过100℃的温度。
9.根据条款1-6中任一项所述的方法,其中在所述多个循环期间的所述衬底温度保持在不超过90℃的温度。
10.根据条款1-6中任一项所述的方法,其中所述钴层被沉积在阻挡层上。
11.根据条款1-6中任一项所述的方法,其中所述共反应物是氢(H2)。
12.根据条款1-6中任一项所述的方法,其中所述共反应物是氢(H2),并且所述含氮钴抑制剂是氨(NH3)。
13.根据条款12所述的方法,其中NH3的体积为介于所述混合物的体积的0.5%至20%之间。
14.根据条款12所述的方法,其中NH3的体积为介于所述混合物的体积的0.5%至5%之间。
15.根据条款1至6中任一项所述的方法,其中每个循环还包括在将所述特征暴露于所述一定剂量的所述钴前体之后并且在将所述被吸附的钴前体暴露于所述一定剂量的所述共反应物之前,清扫其中容纳所述衬底的室。
16.根据条款1-6中任一项所述的方法,其中每个循环还包括在将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述一定剂量的混合物之后,清扫其中容纳所述衬底的室。
17.根据条款1-6中任一项所述的方法,其中,在将所述特征暴露于所述一定剂量的所述共反应物和将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述一定剂量的混合物之间不进行清扫。
18.一种方法,包括:
(a)将一定剂量的钴前体引入处理室;
(b)在引入所述一定剂量的所述钴前体之后,清扫所述处理室;
(c)在清扫所述处理室之后,引入一定剂量的H2共反应物;
(d)在引入所述一定剂量的H2共反应物之后,引入所述H2共反应物和含氮化合物的一定剂量的混合物,其中所述含氮化合物的体积为介于所述H2共反应物和所述含氮化合物的体积总量的0.5%至20%之间;
(e)在引入所述H2共反应物和所述含氮化合物的所述一定剂量的混合物之后,清扫所述处理室;以及
重复(a)-(e)一次或多次。
19.一种装置,其包括:
处理室;
一个或多个气体入口,其通向所述处理室和相关的流量控制硬件内;和具有至少一个处理器和存储器的控制器,其中,
所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少能操作地与所述流量控制硬件连接,并且所述存储器存储用于以下操作的计算机可执行指令:
(a)将一定剂量的钴前体引入所述处理室;
(b)在引入所述一定剂量的所述钴前体之后,清扫所述处理室;
(c)在清扫所述处理室之后,引入一定剂量的H2共反应物;
(d)在引入所述一定剂量的H2共反应物之后,引入所述H2共反应物和含氮化合物的一定剂量的混合物,其中所述含氮化合物的体积为介于所述H2共反应物和所述含氮化合物的体积总量的0.5%至20%之间;
(e)在引入所述H2共反应物和所述含氮化合物的所述一定剂量的混合物之后,清扫所述处理室;以及
重复(a)-(e)一次或多次。
这些和其它方面将在下面参照相关附图进行说明。
附图说明
图1A-1D是钴填充特征的示意图。
图2A和图2B是描绘根据多种实施方式的方法的工艺流程图。
图3是显示抑制剂暴露对钴的ALD生长速率的影响的图形。
图4A示出了在具有22-27nm的顶部关键尺寸(CD)开口的沟槽上的ALD钴膜的透射电子显微镜(TEM)图像。
图4B示出了使用如上参照图2A描述的ALD工艺的钴间隙填充的TEM图像。
图5示出了通过ALD在有和没有抑制剂暴露的情况下沉积的钴膜的X射线光电子谱。
图6和图7是根据所公开的实施方式的适用于沉积工艺的处理装置的示意图。
具体实施方式
在下面的说明中,记载了大量具体细节以提供对呈现的实施方式的彻底理解。公开的实施方式可以在没有这些具体细节中的一些或者所有的情况下付诸实践。在其他实例中,没有具体说明周知处理操作,以免不必要地模糊公开的实施方式。尽管将结合具体实施方式来说明公开的实施方式,但要理解的是这不意图限制公开的实施方式。
在半导体制造中,特征可以填充有导电材料。例如,钨经常填充在特征中来形成触点(诸如在前端制程(FEOL)应用中)。然而,随着设备缩小,深宽比增加,并且更小的特征被用于形成触点。在很多应用中,替代的导电材料(诸如钴)可以被用于形成触点或者填充特征。
在半导体制造中的常规的钴沉积包括电镀,一种使用电流来还原溶液中的金属阳离子的湿法工艺。在钴电镀中,称为钴晶种层的钴薄层可首先沉积在该特征中。晶种层具有足够的导电性,以使得在电镀过程中,特征中的钴能生长。
钴还可以由原子层沉积(ALD)或者化学气相沉积(CVD)来沉积。在常规ALD或者CVD中,由于特征轮廓,孔隙可能形成在特征中。图1A是衬底102中这样的特征100的示例的示意图。衬底可以是硅晶片(例如200-mm晶片、300-mm晶片、450-mm晶片),包含上面沉积有一个或一个以上的材料层(诸如电介质材料、导电材料、或者半导电材料)的晶片。特征可以通过窄的开口、内凹的开口、特征内的收缩、以及高深宽比中的一个或一个以上表征。在一些实施方式中,特征100可以具有的深宽比为至少约2:1,至少约10:1,至少约15:1,至少约20:1或更高。特征100可以具有少于约19nm的开口附近(例如开口直径或者线宽、或者开口宽度、或者关键尺寸)的尺寸,另外已知特征宽度少于1×nm。特征100能够被称作未填充的特征或者仅仅称作特征。该特征和任何特征通过穿过特征的长度延伸的轴线部分地表征,其包括具有垂直轴线的垂直取向的特征、以及具有水平轴线的水平取向的特征。
如图所示,衬底102包含特征100,其具有比特征的底的宽度窄的特征开口110。图1A中的特征100包含内凹的轮廓。内凹的轮廓是如下轮廓:从底部、封闭端、或者特征的内部到特征开口变窄。根据多种实施方式,轮廓可以逐步变窄和/或在特征开口处包含突出部。图1A所示的内凹的图案可以通过在图案化期间的非对称蚀刻动能和由于先前的膜沉积(诸如扩散阻挡的沉积)中的非共形膜阶梯覆盖导致的突出部中的一种或者更多种而产生。在各种示例中,特征可以在特征的顶部的开口处具有比特征的底的宽度小的宽度。图1B是沉积到特征100中的阻挡层104的示意图。在沉积了阻挡层104之后,由ALD或者CVD进行的钴的常规沉积在特征100中形成非共形钴膜。图1C是具有非共形膜105的特征100的示意图,该非共形膜105在特征入口处具有突出部并且在特征的底部处具有不足厚的膜覆盖。在随后的填充工艺中,形成钴膜106。由于特征入口处的突出部和特征底部的覆盖不足,因而该填充工艺导致在特征100的图案内形成孔隙160。孔隙是特征中剩余未填充的区域。例如当沉积的材料在特征内形成夹点时,孔隙能够形成,并封堵特征内未填充的空间,阻止反应物进入和沉积。特征中形成孔隙经常导致设备故障。
在图1A-1D的示例中,特征开口是内凹的(re-entrant),这可能导致非共形膜105的低质量的阶梯覆盖。然而,即使在不内凹的特征中,沉积钴的常规的CVD工艺也可能导致非共形性。随后通过气相沉积或通过电镀进行的填充工艺可能导致孔隙。
本文提供了用于沉积钴的原子层沉积(ALD)方法。该方法可以用于沉积以下项中的任何一项:用于后续的电镀填充特征的钴晶种层,用于后续CVD填充特征的钴晶种层,或用于通过ALD直接填充特征。本文描述的方法可以用于窄特征,诸如具有小于10nm开口或小于7nm开口的特征。所述方法涉及ALD循环过程中的两步表面处理,一步涉及共反应物气体与吸附的钴前体的反应,而另一步涉及钴表面上生长抑制反应物气体。抑制生长的反应物气体显著降低钴的生长速率,生成高度共形的钴膜。所描述的ALD工艺通过单独的表面处理和低的工艺温度实现膜成核、台阶覆盖率和形态的改进的可控性。所述方法适用于各种特征填充应用,包括适用于前端制程(FEOL)处理中的金属栅极的制造/触点填充、以及后端制程(BEOL)处理中的通孔/线填充。
特征内的材料的分布可以通过其台阶覆盖率来表征。为了说明的目的,“台阶覆盖率”定义为两个厚度的比率,即特征内的材料的厚度除以开口附近的材料的厚度。如果从特征的中间部分测量,则厚度可以从特征的开口起计量的沿着特征的深度的在介于约25%的距离和75%的距离之间的某个位置处测量,或者在某些实施方式中在介于约40%的距离和60%的距离之间的某个位置处测量。如果从特征的端部测量,则厚度可以在从开口起计量的沿特征轴线的在介于约75%的距离和95%的距离之间的位置处测量。术语“在特征的开口附近”和“在特征开口的附近”是指定位在开口的边缘(或代表开口的边缘的其他元件)的25%内的特征的顶部部分,或者更具体地,定位在开口的边缘(或代表开口的边缘的其他元件)的10%内的特征的顶部部分。例如,通过填充在特征的中间或靠近底部处比在特征开口处更宽的特征,可以实现超过100%的台阶覆盖率。共形沉积具有接近100%的台阶覆盖率,例如80%-120%或90%-110%的台阶覆盖率。本文所述的方法可用于共形沉积钴使得钴跟随(follow)下伏表面的轮廓。
图2A是用于依据公开的某些实施方式来执行方法200a的操作的工艺流程图。在操作202中,提供了具有要填充的特征的衬底。衬底可以是硅衬底或者另一个适当的半导体衬底。衬底可以包含超过一个的特征,并可以包含特征的图案,该图案具有各种尺寸的特征或者一个尺寸的特征。对于该说明的目的而言,在填充单个特征的上下文中讨论了图2A,但应该理解的是还可以类似地填充各种尺寸的特征。特征可以是上述特征中的任何一些,并且可以在衬底上的电介质或其他材料中形成。在一些实施方式中,在氧化硅或其他电介质中形成的特征可以包括在特征底部和电介质侧壁处的金属触点。
在一些实施例中,特征包括共形地内衬特征的一个或多个衬里层。一个或多个衬里层可以是粘附层和扩散阻挡层中的一个或两个。阻挡层的实例包括氮化钨(WN)、氮化钛(TiN)、氮化钽(TaN)和氮化钴(CoN)的薄层。粘附层的示例包括钛(Ti)。在一些实施方式中,阻挡膜可以是碳氮化钨(WCN)膜。例如,在2017年3月30日提交的名称为“SelectiveDeposition of WCN Barrier/Adhesion Layer for Interconnect”的美国专利申请No.15/474,383中描述了WCN阻挡膜,该申请通过引用并入本文。
通常将衬底提供给反应室,其实例在下面进一步描述,其中进行钴层的ALD沉积。以下描述假定ALD沉积在多站式室的单个室或单个站中进行。然而,在替代实施方式中,每个暴露操作中的任何部分可以在不同的室或站中执行。衬底可以被提供给具有或不具有事先沉积在特征中的衬里层的室。
然后在操作204中将该特征暴露于钴前体剂料。示例的含钴前体包含二羰基环戊二烯钴(I)、羰基钴、各种脒基钴前体(cobalt amidinate precursors)、二氮杂二烯钴复合物、脒基/胍基钴前体(cobalt amidinate/guanidinate precursors)和其组合。适当的钴前体可以包含具有有机基团和/或羰基基团的钴中心,其中有机基团包含烷基,诸如甲基、乙基、丙基、丁基、戊基、己基、庚基与辛基,其可以是直链烃链或者支链烃链。在一些实施方式中,钴前体是有机金属化合物,其具有经取代或者未经取代的烯丙基配体。在一些实施方式中,烯丙基配体是未经取代的。
在一些实施方式中,钴前体是有机金属钴化合物,其具有下面的构造:
其中R1是C1-C8-烷基,R2是C1-C8烷基,x是0、1或者2;并
且y是0或者1。
在一些实施方式中R1是C2-C8烷基,R2独立地为C2-C8烷基。
本文使用的术语“烷基”是指长度为1至8个原子的饱和烃链,诸如甲基、乙基、丙基、丁基、戊基、己基、庚基与辛基。术语“烷基”包含直链烃链和支链烃链这两者。因此,术语丙基包含两者正丙基和异丙基。术语丁基包含正丁基、仲丁基、异丁基和叔丁基。
在一些实施方式中,x是0且y是1。依据该实施方式的有机金属化合物的示例如下文所示:
某些所说明的化合物可来自马萨诸塞州的Haverhill的SAFC-Hitech,结合加州Fremont的Lam Research Inc.的对应的沉积装置。
在一些实施方式中,含钴前体包含在低温(诸如低于约100℃的温度)具有高蒸气压强的金属有机物前体。示例的蒸气压强在约30℃的环境下可以约为0.5Torr。在一些实施方式中,不使用钴前体,例如不使用二钴六羰基叔丁基乙炔(CCTBA)。CCTBA在低温下具有相对较低的蒸气压,这可能使其难以在低温下沉积并且本质上不稳定,从而导致随时间推移而发生二聚或聚合。
在多种实施方式中,使用非反应性载气(例如氩(Ar))将合适的钴前体引入室中。在一些实施方式中,使用氩气作为载气将钴前体引入室中。钴前体被吸附到衬底上,使其共形地给特征表面加衬里。然后在操作206中将未吸附的钴前体从室中清扫。清扫可涉及引入惰性气体以打扫室和真空清扫中的一者或两者。然后该特征在操作208中暴露于共反应物剂料。共反应物是与吸附的钴前体反应以形成钴的化合物。在本文提供的实例中,共反应物是氢气(H2),但是可以使用其他共反应物,具体取决于钴前体化学物质。在一些实施方式中,可以使用非反应物载气来引入共反应物。所吸附的前体在特征表面上发生反应并形成钴金属。
然后在操作210中将该特征暴露于含抑制剂的反应物剂料。含抑制剂的反应物剂料是含氮剂料,其实例包括氨(NH3)、氮(N2)和肼(N2H2)。其他含氮化合物可用作钴生长抑制剂。操作210可以进一步涉及引入在操作208中与含抑制剂的反应物气体一起引入的共反应剂气体。含抑制剂的反应物气体与钴(Co)相互作用并用于抑制钴生长。但是,太多的NH3或其他抑制剂会导致不可接受的缓慢或停止的生长或将氮掺入钴膜中。通过将含抑制剂的反应物气体与共反应物气体混合,含抑制剂的反应物气体是稀释的。而且,在一些实施方式中,共反应物气体与含抑制剂的反应物气体之间在表面上可能存在竞争,这也有助于减轻后者的影响。在一些实施方式中,从操作208转换到操作210包括继续使共反应物流入室中并添加含抑制剂的反应物气体流。然后在操作212中清扫室。在框214处,如果循环要重复,则该方法返回到操作204。如果不是,则该方法结束。通常重复该循环直到沉积期望厚度的钴。根据各种实施方式,该厚度可能对于用以支持后续的主体气相沉积或电镀的钴晶种层来说是足够的或可能足以用于填充特征。因此,在一些实施方式中,在方法200a之后,该特征可以仅被部分填充,随后执行钴的CVD主体沉积或电镀操作以完成特征填充。在一些实施方式中,该特征可以被填充。
图2B是根据某些实施方式的用于执行方法200b的操作的工艺流程图。方法200b与参照图2A讨论的方法200a的不同之处在于,在将衬底暴露于具有抑制剂的共反应物剂料(操作208)之前,将衬底暴露于含抑制剂的反应物剂料(操作210)。在方法200b中从操作210转换到操作208可涉及在继续使共反应物流动的同时关闭含抑制剂的反应物气体的流动。在图2A和2B的操作210期间,含抑制剂的反应物剂料可以是组合的含抑制剂反应物剂料和共反应物剂料的0.5%至20%(体积)。在具体的实施方式中,它可以是1%至5%(体积)。
操作202,204,206和212可以如上面参照图2a所描述的那样执行。图2A和2B中的方法200a和200b是热非等离子体ALD工艺。
ALD沉积期间的衬底温度相对较低,例如低于150℃,并且在一些实施方式中低于100℃。温度一般不低于70℃。室压强可以在5托至50托之间,并且在一些实施方式中可以在8托至20托之间。衬底温度影响台阶覆盖率,使得通过在相对较低的温度下操作,可以获得更好的台阶覆盖率。压力影响膜质量,如杂质水平和电阻率。在一些实施方式中,压强至少为8托以获得具有低电阻率的高纯度钴膜。
在多种实施方式中,使用载气(例如氩(Ar))将合适的含钴前体和/或还原剂引入室中。下面给出示例性的流率,应理解,流速将取决于所使用的特定沉积室,并且可以随给出的示例不同而变化。
在一些实施方式中,携带钴前体的Ar载气或其他载气的流率可以在约15sccm至约300sccm之间,并且在更具体的实施方式中在约50sccm至约100sccm之间。
在吹扫操作期间,Ar或其他吹扫气体流率可以在10sccm至50,000sccm之间,并且在更具体的实施例中,在500sccm至10,000sccm之间。在吹扫操作期间,不会有反应物或抑制气体流动。
在多种实施方式中,共反应物是氢(H2)。在一些实施方式中,H2可以在没有载气的情况下流动。可以将H2共反应物以介于约100sccm与约50,000sccm之间,或更特别地介于约500sccm与约10,000sccm之间的流率引入。在一些实施方式中,抑制剂气体是NH3。NH3可以以介于5sccm至2000sccm之间,或者更具体地介于10sccm至400sccm之间的流率引入。
抑制剂化合物是抑制钴前体的成核或吸附而不掺入膜中的抑制剂化合物。对于以上述结构式I和II示出的钴前体,已发现含氮抑制剂化合物具有抑制作用。可以避免氧气、卤素和其他反应性物质。抑制剂化合物可以被称为钴生长抑制剂或抑制剂。
图3是显示抑制剂暴露对钴的ALD生长速率的影响的图形。比较三种ALD工艺:没有抑制剂暴露的高温工艺(用圆圈标记的数据点),没有抑制剂暴露的低温工艺(正方形)和具有抑制剂暴露的低温工艺(三角形)。高温工艺是指温度在100℃至140℃之间的范围内,低温工艺指的是比高温工艺低约20-40℃的温度。根据图2A所示的方法200a进行具有抑制剂暴露的低温工艺。使用根据结构II的钴前体,其中H2作为共反应物并且H2/NH3混合物用于抑制剂暴露。NH3的体积为H2/NH3混合物的体积的1-2%。
图3示出了生长速率受温度影响,随着温度下降,生长速率下降了45%。然而,抑制剂剂料在减缓生长速率方面甚至更有效,生长速率下降了65%。以这种方式,ALD工艺较慢,这导致更大的共形性和台阶覆盖率。
图4A示出了在具有22-27nm的顶部关键尺寸(CD)开口的沟槽上的ALD钴膜的透射电子显微镜(TEM)图像。左图显示了使用如参考图3所述的高温工艺并且没有抑制剂暴露而进行的ALD钴沉积。右图显示了ALD钴沉积使用如参照图3所述的具有抑制剂暴露的低温工艺。通过使用较低温度和抑制剂暴露来改善膜台阶覆盖率和膜形态。图4B示出了使用如上参照图2A所述的ALD工艺的钴填隙的TEM图像。
使用根据结构I和II的前体,使用NH3抑制剂暴露,通过ALD获得纯的和结晶的ALD钴膜,在25nm下膜电阻率为约12μohm-cm,这与CCTBA的相当。这表明NH3抑制剂的氮未掺入膜中。图5显示了在有和没有抑制剂暴露的情况下ALD沉积的钴膜的X射线光电子谱。该谱显示两种膜的氮杂质和类似的碳含量可以忽略不计。这表明膜中没有掺入与抑制剂相关的杂质。
装置
图6绘出了具有用于保持低压环境的处理室602的ALD处理站600的一个实施方式的示意图。多个ALD处理站可以包含在通常低压处理工具环境中。例如,图7绘出了多站式处理工具700的一个实施方式。在一些实施方式中,ALD处理站600的一个或一个以上的硬件参数(包含下文详细讨论的那些)可以由一个或一个以上的计算机控制器650以编程方式调节。
ALD处理站600与反应物输送系统601a流体连通,以将处理气体输送至分配喷头606。反应物输送系统601a包含混合容器604,混合容器604用于混合和/或调节输送至喷头606的处理气体,如含钴前体气体、含氢气体、或含氮气体。一个或一个以上的混合容器入口阀620可以对处理气体导入至混合容器604进行控制。在多种实施方式中,在处理站600中执行钴膜的沉积,并且在一些实施方式中,诸如阻挡层沉积或Co间隙填充之类的其它操作可以在如以下参照图7进一步描述的多站式处理工具700中的相同站或另一个站中进行。
举例而言,图6的实施方式包含汽化点603,其用于汽化将供应至混合容器604的液体反应物。在一些实施方式中,汽化点603可以是加热的蒸发器。在一些实施方式中,液体前体或液体反应物可以在液体喷射器(未示出)处被汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器604上游的载气流中。在一个实施方式中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点603下游的管道长度。在一个方案中,液体喷射器可以直接安装到混合容器604。在另一个方案中,液体喷射器可以直接安装到喷头606。
在一些实施方式中,可以在汽化点603上游设置液体流控制器(LFC)来控制用于汽化并输送至处理室602的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以花费一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方式中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方式中,这可以通过禁用PID控制器和LFC的感测管道来执行。
喷头606朝衬底612分配处理气体。在图6所示的实施方式中,衬底612位于喷头606下方,并且示出为安置在基座608上。喷头606可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底612。
在一些实施方式中,基座608可以升高或降低以将衬底612暴露到衬底612和喷头606之间的体积。在一些实施方式中,基座608可以通过加热器610进行温度控制。基座608可以在用于执行各种公开的实施方式的操作期间被设置为任何合适的温度,诸如在约25℃和约650℃之间的温度。如上所述,在ALD沉积钴膜期间,基座温度可以在50℃和150℃之间,或者50℃和100℃之间。应理解的是,在一些实施方式中,基座高度可以经由合适的计算机控制器650通过编程方式进行调节。在处理阶段结束时,基座608可以在另一衬底转移阶段期间降低以允许从基座608移除衬底612。
在一些实施方式中,喷头606的位置可以相对于基座608调节以改变衬底612和喷头606之间的体积。此外,应当理解的是,基座608和/或喷头606的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方式中,基座608可包含用于旋转衬底612的方位的旋转轴线。应该理解的是,在一些实施方式中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器650以编程方式执行。计算机控制器650可以包括关于图7的控制器750在下面描述的任何特征。
在一些实施方式中,可以经由输入/输出控制(IOC)测序指令来提供用于控制器650的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方式中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含用于设置惰性气体和/或反应气体(例如,第一前体,如钴前体)的流率的指令、用于设定载气(例如氩气)的流率的指令、以及用于第一配方阶段的时间延迟指令。接下来的第二配方阶段可以包含用于调节或者停止惰性气体和/或反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包含用于调节第二反应物气体(例如H2或NH3)的流率的指令、用于调节载气或者清扫气体的流率的指令、用于点燃等离子体的指令、以及用于第三配方阶段的时间延迟指令。随后的第四配方阶段可以包含用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时间延迟指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。
此外,在一些实施方式中,用于处理站600的压力控制可由蝶阀618提供。如图6的实施例所示,蝶阀618节流由下游真空泵(未示出)提供的真空。然而,在一些实施方式中,处理站600的压力控制也可以通过改变引入到处理站600的一种或多种气体的流率来调节。
如上所述,一个或一个以上的处理站可以包含在多站处理工具中。图7示出了多站式处理工具700的一个实施方式的概要视图,所述处理工具700具有入站装载锁702和出站装载锁704,两者之一或者该两者可以包含远程等离子体源(未示出)。处于大气压的机械手706被配置为将晶片从通过舱708装载的盒经由大气端口710移动至入站装载锁702内。晶片(未示出)由机械手706放置在入站装载锁702中的基座712上,关闭大气端口710,且抽空入站装载锁702。当入站装载锁702包含远程等离子体源时,晶片在被引入处理室714之前,可以暴露于入站装载锁702中的远程等离子体处理。此外,晶片另外也可以在入站装载锁702中加热,例如以移除湿气和吸附的气体。接下来,通向处理室714的室传输端口716被打开,且另一个机械手(未示出)将晶片放置到在反应器中被示出的第一站的基座上的反应器中以用于处理。尽管在图7中绘出的实施方式包含装载锁,但应该理解的是,在一些实施方式中,可以使衬底直接进入处理站。
绘出的处理室714包含4个处理站,在图7所示的实施方式中编号为1至4。每个站具有加热的基座(对于站1示出为718)和气体管线入口。应该理解的是,在一些实施方式中,每个处理站可以具有不同或者多个用途。例如,在一些实施方式中,处理站可以是可在ALD与等离子体增强的ALD处理模式之间切换的。在一些实施方式中,暴露于沉积前体并暴露于第二反应物和等离子体在相同的站中进行。附加地或替代地,在一些实施方式中,处理室714可以包含一个或多个ALD和等离子体增强的ALD处理站的匹配对。此外,暴露于预处理气体或等离子体和ALD处理可以发生在相同或不同的站。尽管绘出的处理室714包含4个站,但要理解的是,根据本公开所述的处理室可以具有任何适当数量的站。例如,在一些实施方式中,处理室可以具有5个或5个以上的站,而在其它实施方式中,处理室可以具有3个或者更少的站。
图7绘出了用于在处理室714内传输晶片的晶片搬运系统790的一个实施方式。在一些实施方式中,晶片搬运系统790可以在各种处理站之间和/或处理站与装载锁之间传输晶片。应该理解的是,可以采用任何适当的晶片搬运系统。非限制性示例包含晶片转盘和搬运晶片的机械手。图7还绘出了采用来控制处理工具700的工艺条件和硬件状态的系统控制器750的一个实施方式。系统控制器750可以包含一个或多个存储器设备756、一个或多个海量存储设备754和一个或多个处理器752。处理器752可以包含计算机或者CPU、模拟和/或数字输入/输出连接、步进马达控制器板等。
在一些实施方式中,系统控制器750控制处理工具700的所有活动。系统控制器750执行存储在海量存储设备754、载入存储器设备756、并由处理器752执行的系统控制软件758。可替代地,控制逻辑可以在控制器750中硬编码。特定应用集成电路、可编程逻辑设备(例如,现场可编程门阵列、或者FPGA)等可以用于这些目的。在下面的讨论中,无论使用“软件”还是“代码”,可以使用功能上相当的硬编码的逻辑来取代。系统控制软件758可以包含用于控制时序、气体的混合、气体流率、室和/或站压强、室和/或站温度、等离子体暴露持续时间、UV辐射持续时间、晶片温度、目标功率电平、RF功率电平、衬底基座、卡盘和/或基座位置、以及由处理工具700执行的特定处理的其它参数的指令。系统控制软件758可以以任何适当的方式配置。例如,各种处理工具组件子程序或者控制对象可以写入以控制用于执行各种处理工具处理的处理工具组件的操作。系统控制软件758可以以任何适当的计算机可读编程语言来编码。
在一些实施方式中,系统控制软件758可以包含用于控制上述各种参数的输入/输出控制(IOC)测序指令。在一些实施方式中可以采用与系统控制器750关联的、存储在海量存储设备754和/或存储器设备756的其它计算机软件和/或程序。用于该目的的程序或者程序段的示例包含衬底定位程序、处理气体控制程序、压力控制程序、加热器控制程序、以及等离子体控制程序。
衬底定位程序可以包含用于处理工具组件的程序代码,该处理工具组件用于将衬底装载到基座718,并控制衬底和处理工具700的其它部分之间的间隔。
处理气体控制程序可包含用于控制气体组成(例如,本文所述的钴前体气体、共反应物气体、抑制剂气体、清扫气体)和流率的代码和任选地用于使气体在沉积之前流到一个或多个处理站中以稳定在处理站中的压强的代码。压强控制程序可以包含用于通过调节例如在处理站的排放系统中的节流阀、流入处理站内的气流等等来控制处理站内的压强的代码。
加热器控制程序可包含用于控制流向用于加热衬底的加热单元的电流的代码。可替代地,加热器控制程序可控制传热气体(如氦气)朝向衬底的传送。
压强控制程序可以包含用于根据本文的实施方式保持反应室内的压强的代码。
在一些实施方式中,可以存在与系统控制器750相关联的用户界面。用户界面可以包含显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
在一些实施方式中,由系统控制器750调节的参数会涉及工艺条件。非限制性实例包含处理气体组成和流率、温度、压强等。这些参数可以以配方的形式提供给用户,配方可以利用所述用户界面输入。
用于监控处理的信号可以由系统控制器750的模拟和/或数字输入连接件从各种处理工具传感器提供。用于控制处理的信号可以通过处理工具700的模拟和/或数字输出连接件输出。可被监控的处理工具传感器的非限制性实例包含质量流量控制器、压力传感器(例如压力计)、热电偶等等。经适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持工艺条件。
系统控制器750可以提供用于执行上述沉积处理的程序指令。所述程序指令可以控制多种处理参数,如压强、温度等。所述指令可以控制这些参数以根据本发明所描述的多种实施方式操作膜叠层的原位沉积。
系统控制器750将通常包含一个或多个存储器设备和被配置成执行指令的一个或多个处理器以使该装置将执行根据所公开的实施方式所述的方法。包含用于控制根据所公开的实施方式的处理操作的指令的机器可读的介质可以耦合到系统控制器750。
在一些实现方式中,系统控制器750是系统的一部分,该系统可以是上述示例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,系统控制器750可以被编程以控制本文公开的任何工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,系统控制器750可以定义为具有接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到系统控制器750的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器750可以是与系统集成、耦合或者说是通过网络连接系统、或它们的组合的计算机的一部分或者与该计算机耦合。例如,系统控制器750可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程、检查过去的制造操作的历史、检查多个制造操作的趋势或性能标准,以改变当前处理的参数、设置处理步骤以跟随当前的处理或者开始新的工艺。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含本地网络或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些示例中,系统控制器750接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,系统控制器750被配置成连接或控制该工具类型。因此,如上所述,系统控制器750可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的一个示例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。虽然图6和7提供了可用于执行本文公开的方法的室和工具的示例,但可以进行各种修改。
示例的系统可以包含但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,系统控制器750可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
结论
虽然上述实施方式已经为了清楚理解的目的在一些细节方面进行了描述,但显而易见的是,某些变化和修改方案可在所附权利要求的范围内实施。应当注意的是,具有实施本发明的实施方式的过程、系统和装置的许多替代方式。因此,本发明的实施方式应被视为是说明性的而不是限制性的,并且所述实施方式并不限于本文所给出的细节。
Claims (17)
1.一种方法,其包括:
提供具有一个或多个特征的衬底,每个特征包括特征开口;以及执行用以沉积与所述一个或多个特征共形的钴层的多个沉积循环,其中每个沉积循环包括:
将所述特征暴露于一定剂量的钴前体以在所述一个或多个特征上形成被吸附的钴前体层;
将所述被吸附的钴前体层暴露于一定剂量的共反应物以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层中的所述钴前体反应;以及
将被吸附钴前体层的特征暴露于所述共反应物和含氮钴生长抑制剂的一定剂量的混合物,
其中所述钴前体具有根据(I)的结构:
其中R1是C1-C8-烷基,R2是C1-C8烷基,x是0、1或者2;并且y是0或者1;或者
其中所述钴前体选自二羰基环戊二烯钴、羰基钴、脒基钴前体、二氮杂二烯钴复合物和脒基钴/胍基钴前体。
2.根据权利要求1所述的方法,其中将所述被吸附的钴前体层暴露于所述一定剂量的共反应物以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层中的所述钴前体反应在将所述被吸附钴前体层的特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述一定剂量的混合物之前进行。
3.根据权利要求1所述的方法,其中将所述被吸附的钴前体层暴露于所述一定剂量的共反应物以使所述共反应物与所述一个或多个特征上的所述被吸附的钴前体层中的所述钴前体反应在将所述被吸附钴前体层的特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述一定剂量的混合物之后进行。
4.根据权利要求1所述的方法,其中所述钴前体具有根据(II)的结构
5.根据权利要求1-4中任一项所述的方法,其中在所述多个沉积循环期间的所述衬底温度保持在不超过150℃的温度。
6.根据权利要求1-4中任一项所述的方法,其中在所述多个沉积循环期间的所述衬底温度保持在不超过100℃的温度。
7.根据权利要求1-4中任一项所述的方法,其中在所述多个沉积循环期间的所述衬底温度保持在不超过90℃的温度。
8.根据权利要求1-4中任一项所述的方法,其中所述钴层被沉积在阻挡层上。
9.根据权利要求1-4中任一项所述的方法,其中所述共反应物是氢(H2)。
10.根据权利要求1-4中任一项所述的方法,其中所述共反应物是氢(H2),并且所述含氮钴生长抑制剂是氨(NH3)。
11.根据权利要求10所述的方法,其中NH3的体积为介于所述混合物的体积的0.5%至20%之间。
12.根据权利要求10所述的方法,其中NH3的体积为介于所述混合物的体积的0.5%至5%之间。
13.根据权利要求1-4中任一项所述的方法,其中每个沉积循环还包括在将所述特征暴露于所述一定剂量的所述钴前体之后并且在将所述被吸附的钴前体层暴露于所述一定剂量的所述共反应物之前,清扫其中容纳所述衬底的室。
14.根据权利要求1-4中任一项所述的方法,其中每个沉积循环还包括在将所述被吸附钴前体层的特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述一定剂量的混合物之后,清扫其中容纳所述衬底的室。
15.根据权利要求1-4中任一项所述的方法,其中,在将所述被吸附钴前体层的特征暴露于所述一定剂量的所述共反应物和将所述特征暴露于所述共反应物和所述含氮钴生长抑制剂的所述一定剂量的混合物之间不进行清扫。
16.一种方法,其包括:
(a)将一定剂量的钴前体引入处理室;
(b)在引入所述一定剂量的所述钴前体之后,清扫所述处理室;
(c)在清扫所述处理室之后,引入一定剂量的H2共反应物;
(d)在引入所述一定剂量的H2共反应物之后,引入所述H2共反应物和含氮化合物的一定剂量的混合物,其中所述含氮化合物的体积为介于所述H2共反应物和所述含氮化合物的体积总量的0.5%至20%之间;
(e)在引入所述H2共反应物和所述含氮化合物的所述一定剂量的混合物之后,清扫所述处理室;以及
重复(a)-(e)一次或多次以沉积钴层。
17.一种装置,其包括:
处理室;
一个或多个气体入口,其通向所述处理室和相关的流量控制硬件内;和
具有至少一个处理器和存储器的控制器,其中,
所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少能操作地与所述流量控制硬件连接,并且
所述存储器存储用于以下操作的计算机可执行指令:
(a)将一定剂量的钴前体引入所述处理室;
(b)在引入所述一定剂量的所述钴前体之后,清扫所述处理室;
(c)在清扫所述处理室之后,引入一定剂量的H2共反应物;
(d)在引入所述一定剂量的H2共反应物之后,引入所述H2共反应物和含氮化合物的一定剂量的混合物,其中所述含氮化合物的体积为介于所述H2共反应物和所述含氮化合物的体积总量的0.5%至20%之间;
(e)在引入所述H2共反应物和所述含氮化合物的所述一定剂量的混合物之后,清扫所述处理室;以及
重复(a)-(e)一次或多次以沉积钴层。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/492,976 | 2017-04-20 | ||
US15/492,976 US10242879B2 (en) | 2017-04-20 | 2017-04-20 | Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition |
Publications (2)
Publication Number | Publication Date |
---|---|
CN108847394A CN108847394A (zh) | 2018-11-20 |
CN108847394B true CN108847394B (zh) | 2024-03-15 |
Family
ID=63854662
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201810358633.8A Active CN108847394B (zh) | 2017-04-20 | 2018-04-20 | 通过原子层沉积形成平滑和共形的钴膜的方法和装置 |
Country Status (4)
Country | Link |
---|---|
US (1) | US10242879B2 (zh) |
KR (1) | KR102599906B1 (zh) |
CN (1) | CN108847394B (zh) |
TW (1) | TWI759461B (zh) |
Families Citing this family (332)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US11437269B2 (en) | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
KR102064627B1 (ko) | 2012-03-27 | 2020-01-09 | 노벨러스 시스템즈, 인코포레이티드 | 텅스텐 피처 충진 |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
KR102762543B1 (ko) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
TWI815813B (zh) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | 用於分配反應腔內氣體的噴頭總成 |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102600229B1 (ko) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
KR20210027265A (ko) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) * | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) * | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
SG11202106002VA (en) | 2018-12-05 | 2021-07-29 | Lam Res Corp | Void free low stress fill |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI866480B (zh) | 2019-01-17 | 2024-12-11 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11970776B2 (en) | 2019-01-28 | 2024-04-30 | Lam Research Corporation | Atomic layer deposition of metal films |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
SG11202108725XA (en) | 2019-02-13 | 2021-09-29 | Lam Res Corp | Tungsten feature fill with inhibition control |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
JP7603377B2 (ja) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR102782593B1 (ko) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR102809999B1 (ko) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US10961624B2 (en) * | 2019-04-02 | 2021-03-30 | Gelest Technologies, Inc. | Process for pulsed thin film deposition |
CN111799329B (zh) | 2019-04-08 | 2024-11-29 | 三星电子株式会社 | 半导体器件 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200141931A (ko) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | 석영 에피택셜 챔버를 세정하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US12169361B2 (en) | 2019-07-30 | 2024-12-17 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
KR20210018761A (ko) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 장치를 포함한 히터 어셈블리 및 이를 사용하는 방법 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR102806450B1 (ko) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
KR102776345B1 (ko) * | 2019-09-25 | 2025-03-10 | 솔브레인 주식회사 | 박막 제조 방법 |
KR102156663B1 (ko) * | 2019-09-25 | 2020-09-21 | 솔브레인 주식회사 | 박막 제조 방법 |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885693B (zh) | 2019-11-29 | 2025-06-10 | Asmip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP7636892B2 (ja) | 2020-01-06 | 2025-02-27 | エーエスエム・アイピー・ホールディング・ベー・フェー | チャネル付きリフトピン |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202513845A (zh) | 2020-02-03 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 半導體裝置結構及其形成方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210113043A (ko) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 정렬 고정구 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
KR102719377B1 (ko) | 2020-04-03 | 2024-10-17 | 에이에스엠 아이피 홀딩 비.브이. | 배리어층 형성 방법 및 반도체 장치의 제조 방법 |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210130646A (ko) | 2020-04-21 | 2021-11-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 방법 |
KR20210132612A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 화합물들을 안정화하기 위한 방법들 및 장치 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
TW202208671A (zh) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括硼化釩及磷化釩層的結構之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR102783898B1 (ko) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR102788543B1 (ko) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102795476B1 (ko) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR20210145079A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 기판을 처리하기 위한 플랜지 및 장치 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
WO2021242902A1 (en) | 2020-05-27 | 2021-12-02 | Gelest, Inc. | Silicon-based thin films from n-alkyl substituted perhydridocyclotrisilazanes |
TWI876048B (zh) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
KR20210156219A (ko) | 2020-06-16 | 2021-12-24 | 에이에스엠 아이피 홀딩 비.브이. | 붕소를 함유한 실리콘 게르마늄 층을 증착하는 방법 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TWI873359B (zh) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TWI864307B (zh) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構、方法與系統 |
KR20220011092A (ko) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템 |
TWI878570B (zh) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
TW202219303A (zh) | 2020-07-27 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 薄膜沉積製程 |
KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
TWI874701B (zh) | 2020-08-26 | 2025-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
TW202217045A (zh) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積間隙填充流體之方法及相關系統和裝置 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
KR20220041751A (ko) | 2020-09-25 | 2022-04-01 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 처리 방법 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220050048A (ko) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
US12312677B2 (en) * | 2020-10-16 | 2025-05-27 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Step coverage using an inhibitor molecule for high aspect ratio structures |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202232639A (zh) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 具有可旋轉台的晶圓處理設備 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
WO2023059381A1 (en) | 2021-10-05 | 2023-04-13 | Applied Materials, Inc. | Methods for forming low resistivity tungsten features |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
US12159804B2 (en) | 2022-03-09 | 2024-12-03 | Applied Materials, Inc. | Tungsten molybdenum structures |
CN118419923B (zh) * | 2024-07-01 | 2024-09-17 | 四川大学 | 一种高导热高强度芳杂环聚合物基石墨膜及其制备方法 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003022985A (ja) * | 2001-07-10 | 2003-01-24 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法およびその製造装置 |
CN102007573A (zh) * | 2008-04-29 | 2011-04-06 | 应用材料公司 | 在铜表面上选择性钴沉积 |
CN102074500A (zh) * | 2009-11-12 | 2011-05-25 | 诺发系统有限公司 | 半导体处理中用于k恢复及表面清洁的紫外线及还原处理 |
CN102574884A (zh) * | 2009-08-07 | 2012-07-11 | 西格玛-奥吉奇有限责任公司 | 高分子量烷基-烯丙基三羰基钴配合物及其用于制备介电薄膜的用途 |
CN105390438A (zh) * | 2014-08-21 | 2016-03-09 | 朗姆研究公司 | 用于无孔隙钴间隙填充的方法 |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE20108954U1 (de) * | 2001-05-29 | 2002-10-10 | Ramsauer, Dieter, 42555 Velbert | Stangenverschluß |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
KR100539274B1 (ko) * | 2003-07-15 | 2005-12-27 | 삼성전자주식회사 | 코발트 막 증착 방법 |
US7879710B2 (en) | 2005-05-18 | 2011-02-01 | Intermolecular, Inc. | Substrate processing including a masking layer |
US7858510B1 (en) | 2008-02-28 | 2010-12-28 | Novellus Systems, Inc. | Interfacial layers for electromigration resistance improvement in damascene interconnects |
US8293647B2 (en) | 2008-11-24 | 2012-10-23 | Applied Materials, Inc. | Bottom up plating by organic surface passivation and differential plating retardation |
US20120017784A1 (en) * | 2009-01-20 | 2012-01-26 | James Butcher | Method of providing a printed date |
JP5529613B2 (ja) * | 2009-04-17 | 2014-06-25 | キヤノン株式会社 | 光電変換装置及び撮像システム |
JP4816773B2 (ja) * | 2009-07-16 | 2011-11-16 | 株式会社デンソー | 排気成分濃度センサの応答性検出装置 |
US9330939B2 (en) | 2012-03-28 | 2016-05-03 | Applied Materials, Inc. | Method of enabling seamless cobalt gap-fill |
US8586473B1 (en) | 2012-06-26 | 2013-11-19 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits with ruthenium-lined copper |
US9169556B2 (en) | 2012-10-11 | 2015-10-27 | Applied Materials, Inc. | Tungsten growth modulation by controlling surface composition |
US9514983B2 (en) | 2012-12-28 | 2016-12-06 | Intel Corporation | Cobalt based interconnects and methods of fabrication thereof |
JP5855050B2 (ja) * | 2013-07-10 | 2016-02-09 | キヤノン株式会社 | トランスデューサ、被検体情報取得装置 |
US9385033B2 (en) * | 2013-09-27 | 2016-07-05 | Intel Corporation | Method of forming a metal from a cobalt metal precursor |
TW201525173A (zh) * | 2013-12-09 | 2015-07-01 | Applied Materials Inc | 選擇性層沉積之方法 |
US9153482B2 (en) | 2014-02-03 | 2015-10-06 | Lam Research Corporation | Methods and apparatus for selective deposition of cobalt in semiconductor processing |
US20160002784A1 (en) * | 2014-07-07 | 2016-01-07 | Varian Semiconductor Equipment Associates, Inc. | Method and apparatus for depositing a monolayer on a three dimensional structure |
US9748137B2 (en) | 2014-08-21 | 2017-08-29 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9564312B2 (en) * | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9617648B2 (en) * | 2015-03-04 | 2017-04-11 | Lam Research Corporation | Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias |
-
2017
- 2017-04-20 US US15/492,976 patent/US10242879B2/en active Active
-
2018
- 2018-04-18 KR KR1020180044769A patent/KR102599906B1/ko active Active
- 2018-04-18 TW TW107113100A patent/TWI759461B/zh active
- 2018-04-20 CN CN201810358633.8A patent/CN108847394B/zh active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2003022985A (ja) * | 2001-07-10 | 2003-01-24 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法およびその製造装置 |
CN102007573A (zh) * | 2008-04-29 | 2011-04-06 | 应用材料公司 | 在铜表面上选择性钴沉积 |
CN102574884A (zh) * | 2009-08-07 | 2012-07-11 | 西格玛-奥吉奇有限责任公司 | 高分子量烷基-烯丙基三羰基钴配合物及其用于制备介电薄膜的用途 |
CN102074500A (zh) * | 2009-11-12 | 2011-05-25 | 诺发系统有限公司 | 半导体处理中用于k恢复及表面清洁的紫外线及还原处理 |
CN105390438A (zh) * | 2014-08-21 | 2016-03-09 | 朗姆研究公司 | 用于无孔隙钴间隙填充的方法 |
Also Published As
Publication number | Publication date |
---|---|
TWI759461B (zh) | 2022-04-01 |
CN108847394A (zh) | 2018-11-20 |
KR20180118055A (ko) | 2018-10-30 |
US10242879B2 (en) | 2019-03-26 |
US20180308701A1 (en) | 2018-10-25 |
TW201903197A (zh) | 2019-01-16 |
KR102599906B1 (ko) | 2023-11-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN108847394B (zh) | 通过原子层沉积形成平滑和共形的钴膜的方法和装置 | |
CN110959186B (zh) | 在互连金属化中沉积钌层 | |
US9349637B2 (en) | Method for void-free cobalt gap fill | |
US12334351B2 (en) | Molybdenum deposition | |
CN108735577B (zh) | 选择性沉积用于互连的wcn阻挡/粘附层 | |
US9748137B2 (en) | Method for void-free cobalt gap fill | |
US10438847B2 (en) | Manganese barrier and adhesion layers for cobalt | |
JP2023527774A (ja) | 低抵抗率の接点および相互接続 | |
TW201623680A (zh) | 使用四碘化鈦前驅物在低溫下沉積純鈦薄膜的方法及設備 | |
US20230290639A1 (en) | Low resistance gate oxide metallization liner | |
US20180342389A1 (en) | Composite dielectric interface layers for interconnect structures | |
US20250051908A1 (en) | Large grain tungsten growth in features | |
TW202442905A (zh) | 用於低氟成核層沉積的脈衝ald序列 | |
TW202436661A (zh) | 低氟wn沉積的脈衝ald序列 | |
KR20250108659A (ko) | 낮은 플루오린 wn 증착을 위한 펄스 ald 시퀀스 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |