CN105677932A - Statistical timing analysis method used for post-silicon adjustable register circuits - Google Patents
Statistical timing analysis method used for post-silicon adjustable register circuits Download PDFInfo
- Publication number
- CN105677932A CN105677932A CN201410663517.9A CN201410663517A CN105677932A CN 105677932 A CN105677932 A CN 105677932A CN 201410663517 A CN201410663517 A CN 201410663517A CN 105677932 A CN105677932 A CN 105677932A
- Authority
- CN
- China
- Prior art keywords
- post
- silicon
- timing analysis
- statistical timing
- forall
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Landscapes
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
Description
技术领域technical field
本发明属集成电路技术领域,涉及用于带后硅可调寄存器电路的统计时序分析方法。The invention belongs to the technical field of integrated circuits, and relates to a statistical timing analysis method for a post-band silicon adjustable register circuit.
技术背景technical background
随着集成电路特征尺寸减小到100nm以下,工艺偏差已经成为电路时序性能的主要挑战之一。后硅可调时钟树是一种强有力的修复由于工艺偏差所导致的时序违例技术[1,2]。在后硅可调时钟树中,后硅可调寄存器是在设计阶段被插入时钟树的。后硅可调寄存器的延时可以通过改变控制信号来调整。一个典型的后硅可调寄存器结构如图2所示[1]。在芯片生产后,可以通过改变后硅可调寄存器的延时来平衡寄存器状态的时间裕量,这一过程被称为后硅调谐。在后硅调谐过程中,更多的时间裕量被分配到关键路径中来修复时序违例。后硅可调时钟树技术已经被广泛应用到Intel处理器中的时钟网络[3-6],这一技术能够显著提升良率和性能。然而,它也会引入额外的代价,例如面积和功耗。As IC feature sizes shrink below 100nm, process variation has become one of the major challenges to circuit timing performance. Post-silicon tunable clock tree is a powerful technique to fix timing violations caused by process variation [1,2]. In post-silicon tunable clock trees, post-silicon tunable registers are inserted into the clock tree during the design phase. The delay of the post silicon adjustable register can be adjusted by changing the control signal. A typical post-silicon adjustable register structure is shown in Figure 2 [1]. After the chip is produced, the time margin of the register state can be balanced by changing the delay of the post-silicon tunable register. This process is called post-silicon tuning. During post-silicon tuning, more time margin is allocated to critical paths to fix timing violations. Post-silicon tunable clock tree technology has been widely applied to clock networks in Intel processors [3-6], and this technology can significantly improve yield and performance. However, it also introduces additional costs such as area and power consumption.
因此,在过去数十年中,各种方法被提出来优化带有后硅可调寄存器的电路。这些优化方法着眼于在保证良率的情况下减小后硅可调寄存器总体尺寸。例如,文献[2]中提出一种基于后硅可调时钟树综合的扰动方法。在该方法中,带有后硅可调寄存器的电路统计时序分析在每次迭代中被调用。然后,后硅可调寄存器的总体尺寸可以基于统计时序分析的结果得到优化。在这样的一种优化过程中,带有后硅可调寄存器的电路统计时序分析会被执行超过1000次。因此,统计时序分析的效率对于后硅可调寄存器优化算法来说至关重要。在文献[2]中,一种基于蒙特卡洛的线性规划方法被用于进行统计时序分析。由于该方法较差的性能,这一优化过程对于ISCAS89中的一些测试用例需要耗费超过30个小时。Therefore, in the past decades, various methods have been proposed to optimize circuits with post-silicon tunable registers. These optimization methods focus on reducing the overall size of post-silicon tunable registers while ensuring yield. For example, a perturbation method based on post-silicon tunable clock tree synthesis is proposed in [2]. In this approach, a statistical timing analysis of the circuit with post-silicon tunable registers is invoked in each iteration. Then, the overall size of the post-silicon tunable registers can be optimized based on the results of the statistical timing analysis. In one such optimization process, a statistical timing analysis of the circuit with post-silicon tunable registers is performed more than 1000 times. Therefore, the efficiency of statistical timing analysis is critical for post-silicon tunable register optimization algorithms. In [2], a Monte Carlo-based linear programming method is used for statistical time series analysis. Due to the poor performance of this method, this optimization process takes more than 30 hours for some test cases in ISCAS89.
若干相对有效的统计时序分析方法在过去的数年中被提出来用于在工艺波动情况下带有后硅可调寄存器电路的统计时序分析[7-15]。这些方法使用一阶或者二次多项式来近似寄存器之间组合电路的统计延时。然而,带有后硅可调寄存器电路的统计时序分析不同于传统的统计时序分析问题。对于传统统计时序分析,寄存器之间组合电路被建模成非环图。传统统计时序分析方法通过遍历非环图寻找组合电路延时的统计多项式近似。对于带有后硅可调寄存器电路的统计时序分析,组合电路和时钟树中后硅可调寄存器被同时考虑来确定电路的时间良率。因此,这种电路可以被建模成有环图。统计时序分析着眼于从有环图中找到统计最小平均环(电路统计最小时钟周期)。这一问题和透明锁存器电路统计时序分析很相似[16]。这一问题的困难之处在于有环图中环的数目和边的数目成指数关系。Several relatively efficient statistical timing analysis methods have been proposed in the past few years for statistical timing analysis of circuits with post-silicon tunable registers under process fluctuations [7-15]. These methods use first-order or second-order polynomials to approximate the statistical delay of combinational circuits between registers. However, statistical timing analysis of circuits with post-silicon tunable registers is different from traditional statistical timing analysis problems. For traditional statistical timing analysis, combinational circuits between registers are modeled as acyclic graphs. The traditional statistical timing analysis method finds the statistical polynomial approximation of the combinational circuit delay by traversing the acyclic graph. For statistical timing analysis of circuits with post-silicon tunable registers, post-silicon tunable registers in combinational circuits and clock trees are considered simultaneously to determine the timing yield of the circuit. Therefore, such a circuit can be modeled as a cyclic graph. Statistical timing analysis focuses on finding the statistical minimum average cycle (circuit statistical minimum clock period) from the cyclic graph. This problem is very similar to the statistical timing analysis of transparent latch circuits [16]. The difficulty of this problem is that the number of cycles in a cycle graph is exponentially related to the number of edges.
由于直接的模特卡罗方法运行时间过长,近来在文献[17]中提出一种快速的用于带后硅可调寄存器电路的统计时序分析方法。为了避免穷举出所有的环,图中的顶点被逐个消减直到图中仅有一个顶点。为了维护消减后图的平衡性,一些额外的边被加到图中。在文献[17]一种启发式方法被提出,该方法通过移除最小权重的边来减小边的数目。然而,这种方法的效率和精度高度依赖于电路结构。Due to the long running time of the direct model Carlo method, a fast statistical timing analysis method for circuits with post-silicon adjustable registers was recently proposed in [17]. To avoid exhaustive enumeration of all cycles, the vertices in the graph are reduced one by one until there is only one vertex in the graph. In order to maintain the balance of the subtracted graph, some extra edges are added to the graph. In [17] a heuristic is proposed to reduce the number of edges by removing the edge with the smallest weight. However, the efficiency and precision of this method is highly dependent on the circuit structure.
与本发明相关的现有技术有如下参考文献:The prior art relevant to the present invention has following references:
[1]J.-L.Tsai,D.Baik,C.C.-P.Chen,andK.K.Saluja,“Ayieldimprovementmethodologyusingpre-andpost-siliconstatisticalclockscheduling,”inProceedingsofthe2004IEEE/ACMInternationalconferenceonComputer-aideddesign.IEEEComputerSociety,2004,pp.611–618.[1] J.-L.Tsai, D.Baik, C.C.-P.Chen, and K.K. Saluja, “Ayield improvement methodology using pre-and post-silicon statistical clock scheduling,” in Proceeding of the 2004 IEEE/ACM International conference on Computer-aided design. IEEE Computer Society, 2004, pp.611–618
[2]J.-L.Tsai,L.Zhang,andC.C.-P.Chen,“Statisticaltiminganalysisdrivenpost-silicon-tunableclock-treesynthesis,”inComputer-AidedDesign,2005.ICCAD-2005.IEEE/ACMInternationalConferenceon.IEEE,2005,pp.575–581.[2] J.-L.Tsai, L. Zhang, and C.C.-P. Chen, "Statistical timing analysis driven post-silicon-tunableclock-treesynthesis," in Computer-Aided Design, 2005. ICCAD-2005. IEEE/ACM International Conference on. IEEE, 2005 , pp. 575–581.
[3]S.Tam,S.Rusu,U.NagarjiDesai,R.Kim,J.Zhang,andI.Young,“Clockgenerationanddistributionforthefirstia-64microprocessor,”Solid-StateCircuits,IEEEJournalof,vol.35,no.11,pp.1545–1552,2000.[3] S.Tam, S.Rusu, U.Nagarji Desai, R.Kim, J.Zhang, and I.Young, "Clock generation and distribution for the firstia-64 microprocessor," Solid-State Circuits, IEEE Journal of, vol.35, no.11, pp.1545 –1552, 2000.
[4]N.A.Kurd,J.Barkarullah,R.O.Dizon,T.D.Fletcher,andP.D.Madland,“Amultigigahertzclockingschemeforthepentium(r)4microprocessor,”Solid-StateCircuits,IEEEJournalof,vol.36,no.11,pp.1647–1653,2001.[4] N.A.Kurd, J.Barkarullah, R.O.Dizon, T.D.Fletcher, and P.D.Madland, "Amultigigahertz clocking scheme for the pentium(r)4microprocessor," Solid-State Circuits, IEEE Journal of, vol.36, no.11, pp.1647–1653, 2001.
[5]S.Tam,R.D.Limaye,andU.N.Desai,“Clockgenerationanddistributionforthe130-nmitanium2processorwith6-mbon-diel3cache,”Solid-StateCircuits,IEEEJournalof,vol.39,no.4,pp.636–642,2004.[5] S.Tam, R.D.Limaye, and U.N.Desai, "Clock generation and distribution for the 130-nmitanium2 processor with 6-mbon-diel3 cache," Solid-State Circuits, IEEE Journal of, vol.39, no.4, pp.636–642, 2004.
[6]P.Mahoney,E.Fetzer,B.Doyle,andS.Naffziger,“Clockdistributiononadual-core,multi-threadeditanium-familyprocessor,”inSolid-StateCircuitsConference,2005.DigestofTechnicalPapers.ISSCC.2005IEEEInternational.IEEE,2005,pp.292–599.[6] P. Mahoney, E. Fetzer, B. Doyle, and S. Naffziger, "Clock distribution on adult-core, multi-thread editanium-family processor," in Solid-State Circuits Conference, 2005. Digest of Technical Papers. ISSCC. 2005 IEEE International. IEEE, 2005, pp. 292 –599.
[7]J.SinghandS.Sapatnekar,“Statisticaltiminganalysiswithcorrelatednon-gaussianparametersusingindependentcomponentanalysis,”inProceedingsofthe43rdannualDesignAutomationConference.ACM,2006,pp.155–160.[7] J. Singhand S. Sapatnekar, "Statistical timing analysis with correlated non-gaussian parameters using independent component analysis," in Proceeding of the 43rd annual Design Automation Conference. ACM, 2006, pp.155–160.
[8]H.Chang,V.Zolotov,S.Narayan,andC.Visweswariah,“Parameterizedblock-basedstatisticaltiminganalysiswithnon-gaussianparameters,nonlineardelayfunctions,”inProceedingsofthe42ndannualDesignAutomationConference.ACM,2005,pp.71–76.[8] H. Chang, V. Zolotov, S. Narayan, and C. Visweswariah, “Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions,” in Proceeding of the 42 nd annual Design Automation Conference. ACM, 2005, pp.71–76.
[9]Z.Feng,P.Li,andY.Zhan,“Fastsecond-orderstatisticalstatictiminganalysisusingparameterdimensionreduction,”inDesignAutomationConference,2007.DAC’07.44thACM/IEEE.IEEE,2007,pp.244–249.[9] Z.Feng, P.Li, and Y.Zhan, “Fast second-order statistical static timing analysis using parameter dimension reduction,” inDesignAutomationConference, 2007.DAC’07.44thACM/IEEE.IEEE, 2007, pp.244–249.
[10]L.Zhang,W.Chen,Y.Hu,J.A.Gubner,andC.C.-P.Chen,“Correlation-preservednon-gaussianstatisticaltiminganalysiswithquadratictimingmodel,”inProceedingsofthe42ndannualDesignAutomationConference.ACM,2005,pp.83–88.[10] L. Zhang, W. Chen, Y. Hu, J. A. Gubner, and C. C.-P. Chen, “Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model,” in Proceeding of the 42nd annual Design Automation Conference. ACM, 2005, pp.83–88.
[11]Y.Zhan,A.J.Strojwas,X.Li,L.T.Pileggi,D.Newmark,andM.Sharma,“Correlation-awarestatisticaltiminganalysiswithnon-gaussiandelaydistributions,”inDesignAutomationConference,2005.Proceedings.42nd.IEEE,2005,pp.77–82.[11] Y.Zhan, A.J.Strojwas, X.Li, L.T.Pileggi, D.Newmark, and M.Sharma, “Correlation-awarestatisticaltiminganalysiswithnon-gaussiandelaydistributions,” inDesignAutomationConference, 2005.Proceedings.42nd.IEEE, 2005, pp.77–82 .
[12]V.KhandelwalandA.Srivastava,“Ageneralframeworkforaccuratestatisticaltiminganalysisconsideringcorrelations,”inProceedingsofthe42ndannualDesignAutomationConference.ACM,2005,pp.89–94.[12] V. Khandelwaland A. Srivastava, "A general framework for accurate statistical timing analysis considering correlations," in Proceeding of the 42 n dannual Design Automation Conference. ACM, 2005, pp.89–94.
[13]C.Visweswariah,K.Ravindran,K.Kalafala,S.G.Walker,S.Narayan,D.K.Beece,J.Piaget,N.Venkateswaran,andJ.G.Hemmett,“First-orderincrementalblock-basedstatisticaltiminganalysis,”Computer-AidedDesignofIntegratedCircuitsandSystems,IEEETransactionson,vol.25,no.10,pp.2170–2180,2006.[13] C. Visweswariah, K. Ravindran, K. Kalafala, S.G. Walker, S. Narayan, D.K. Beece, J. Piaget, N. Venkateswaran, and J.G. Hemmett, “First-order incremental block-based statistical timing analysis,” Computer-Aided Design of Integrated Circuits and Systems , IEEE Transactions on, vol.25, no.10, pp.2170–2180, 2006.
[14]K.Kang,B.C.Paul,andK.Roy,“Statisticaltiminganalysisusinglevelizedcovariancepropagation,”inDesign,AutomationandTestinEurope,2005.Proceedings.IEEE,2005,pp.764–769.[14] K.Kang, B.C.Paul, and K.Roy, "Statistical timing analysis using levelized covariance propagation," inDesign, Automation and Testin Europe, 2005. Proceedings. IEEE, 2005, pp.764–769.
[15]H.ChangandS.S.Sapatnekar,“Statisticaltiminganalysisconsideringspatialcorrelationsusingasinglepert-liketraversal,”inProceedingsofthe2003IEEE/ACMinternationalconferenceonComputer-aideddesign.IEEEComputerSociety,2003,p.621.[15] H. Chang and S. S. Sapatnekar, "Statistical timing analysis considering spatial correlations using asingle pert-like traversal," in Proceeding of the 2003 IEEE/ACM international conference on Computer-aided design. IEEE Computer Society, 2003, p.621.
[16]R.ChenandH.Zhou,“Statisticaltimingverificationfortransparentlylatchedcircuits,”Computer-AidedDesignofIntegratedCircuitsandSystems,IEEETransactionson,vol.25,no.9,pp.1847–1855,2006.[16] R. Chen and H. Zhou, "Statistical timing verification for transparently latched circuits," Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactionson, vol.25, no.9, pp.1847–1855, 2006.
[17]B.Li,N.Chen,andU.Schlichtmann,“Faststatisticaltiminganalysisforcircuitswithpost-silicontunableclockbuffers,”inComputer-AidedDesign(ICCAD),2011IEEE/ACMInternationalConferenceon.IEEE,2011,pp.111–117.[17] B.Li, N.Chen, and U.Schlichtmann, “Fast statistical timing analysis for circuits with post-silicontunable clockbuffers,” in Computer-Aided Design (ICCAD), 2011IEEE/ACM International Conference on. IEEE, 2011, pp.111–117.
[18]H.Zhu,X.Zeng,W.Cai,J.Xue,andD.Zhou,“Asparsegridbasedspectralstochasticcollocationmethodforvariations-awarecapacitanceextractionofinterconnectsundernanometerprocesstechnology,”inDATE.IEEE,2007,pp.1–6.[18] H. Zhu, X. Zeng, W. Cai, J. Xue, and D. Zhou, "Asparsegrid based spectral stochastic collocation method for variations-aware capacity extraction of interconnects under nanometer process technology," in DATE.IEEE, 2007, pp.1–6.
[19]H.Zhu,X.Zeng,X.Luo,andW.Cai,“Generalizedstochasticcollocationmethodforvariation-awarecapacitanceextractionofinterconnectsconsideringarbitraryrandomprobability,”IEICEtransactionsonelectronics,vol.92,no.4,pp.508–516,2009.[19] H. Zhu, X. Zeng, X. Luo, and W. Cai, "Generalized stochastic collocation method for variation-aware capacity extraction of interconnects considering arbitrary random probability," IEICE transactions on electronics, vol.92, no.4, pp.508–516, 2009.
[20]R.A.Howard,DynamicProgrammingandMarkovProcess.TheMITpress,1960.[20] R.A. Howard, Dynamic Programming and Markov Process. The MIT press, 1960.
[21]S.Bhardwaj,P.Ghanta,andS.Vrudhula,“Aframeworkforstatisticaltiminganalysisusingnon-lineardelayandslewmodels,”inProceedingsofthe2006IEEE/ACMinternationalconferenceonComputer-aideddesign.ACM,2006,pp.225–230.[21] S. Bhardwaj, P. Ghanta, and S. Vrudhula, “A framework for statistical timing analysis using non-lineardelay and slew models,” in Proceeding of the 2006 IEEE/ACM international conference on Computer-aided design. ACM, 2006, pp.225–230.
[21]D.XiuandG.E.Karniadakis,“Thewiener–askeypolynomialchaosforstochasticdifferentialequations,”SIAMJournalonScientificComputing,vol.24,no.2,pp.619–644,2002.[21] D. Xiu and G. E. Karniadakis, "Thewiener–askey polynomial chaos for stochastic differential equations," SIAM Journalon Scientific Computing, vol.24, no.2, pp.619–644, 2002.
[23]M.Gong,H.Zhou,J.Tao,andX.Zeng,“Binningoptimizationbasedonsstafortransparently-latchedcircuits,”inProceedingsofthe2009InternationalConferenceonComputer-AidedDesign.ACM,2009,pp.328–335.。[23] M. Gong, H. Zhou, J. Tao, and X. Zeng, “Binning optimization based on sta for transparently-latched circuits,” in Proceeding of the 2009 International Conference on Computer-Aided Design. ACM, 2009, pp.328–335.
发明内容Contents of the invention
本发明的目的是提供一种全新的基于随机配置的用于带后硅可调寄存器电路统计时序分析方法。本发明方法采用Howard算法在少于100个确定的稀疏网格配置点上来计算电路的时钟周期。然后从这些求得的时钟周期出发根据随机配置理论来推算电路的良率。和现有方法相比,本发明能够在获得和现有方法相比拟的精度情况下,显著减少程序运行时间,提高带后硅可调寄存器电路的统计时序分析的效率。The purpose of the present invention is to provide a brand-new statistical timing analysis method for post-band silicon adjustable register circuits based on random configuration. The method of the invention adopts the Howard algorithm to calculate the clock period of the circuit on less than 100 determined sparse grid configuration points. Then proceed from these obtained clock cycles to calculate the yield rate of the circuit according to the random configuration theory. Compared with the existing method, the present invention can significantly reduce the running time of the program and improve the efficiency of statistical timing analysis of the post-band silicon adjustable register circuit under the condition of obtaining the accuracy comparable to the existing method.
本发明提出的用于带后硅可调寄存器电路的统计时序分析方法,包括下述步骤,流程如图1所示。The statistical timing analysis method for the post-band silicon adjustable register circuit proposed by the present invention includes the following steps, and the flow chart is shown in FIG. 1 .
步骤1.主元压缩得到N个独立随机变量。Step 1. Pivot component compression to obtain N independent random variables.
本发明使用广义随机配置方法来处理工艺波动[18,19]。对于相关的工艺参数,本发明可以先进行一次主元分析(PCA)或者独立元分析(ICA)来获得一组N个随机变量对于带后硅可调寄存器电路,从寄存器FFi到FFj的逻辑路径最大、最小延时都是独立随机变量的函数。在本发明中,我们用表示工艺波动情况下的延时。统计最小时钟周期Tmin也是这些独立随机变量的函数,并且可以用广义多项式混沌扩展来近似Tmin,The present invention uses a generalized stochastic configuration approach to deal with process fluctuations [18,19]. For relevant process parameters, the present invention can first carry out a principal component analysis (PCA) or independent element analysis (ICA) to obtain a group of N random variables For circuits with post-silicon tunable registers, the logic path maximum and minimum delays from register FFi to FF j are independent random variables The function. In this invention, we use Indicates the delay in case of process fluctuations. The statistical minimum clock period T min is also a function of these independent random variables, and T min can be approximated with a generalized polynomial chaos extension,
其中,M是多项式最高价,表示N维广义多项式混沌,i1+…+iN是广义多项式混沌阶数。系数可以通过在一组配置点上使时钟周期Tmin和对应多项式混沌相等得到。是精确值是近似值。Among them, M is the polynomial highest price, represents N-dimensional generalized polynomial chaos, and i 1 +...+i N is the order of generalized polynomial chaos. coefficient It can be obtained by making the clock period T min equal to the corresponding polynomial chaos at a group of configuration points. is the exact value are approximate.
步骤2.生成稀疏网格配置点。Step 2. Generate sparse grid configuration points.
生成稀疏网格配置点P是配置点数目。广义多项式混沌系数可以通过高斯-埃尔米特积分来获得高精度的数值解。广义形式一维积分的l阶精度高斯-埃尔米特积分如下式所示,Generate sparse grid configuration points P is the number of configuration points. The generalized polynomial chaos coefficients can be solved numerically with high precision by Gauss-Hermitian integration. The l-order precision Gauss-Hermitian integral of the generalized form of one-dimensional integral is shown in the following formula,
其中,f(ξ)是被积函数,ρ(ξ)dξ是高斯测度,是取l阶一维埃尔米特多项式第i个实根的配置点,是相应的权重[18,19]。Among them, f(ξ) is the integrand, ρ(ξ)dξ is the Gaussian measure, is the configuration point that takes the i-th real root of the one-dimensional Hermitian polynomial of order l, are the corresponding weights [18,19].
为了求解多维积分,文献[22]提出张量积方法来构建多维配置点。令
其中,ij=l,j=1,2,...,d。多维高斯-埃尔米特积分配置点数目是(l+1)d,即随维度大小d呈指数增长。因此在实际应用中需要减少配置点的数目。Wherein, i j =l, j=1, 2, . . . , d. The number of multi-dimensional Gauss-Hermitian integral configuration points is (l+1) d , which increases exponentially with the dimension size d. Therefore, it is necessary to reduce the number of configuration points in practical applications.
本发明使用稀疏网格技术[18,19]来减少多维积分配置点的数目,令表示一维ij价精度高斯积分配置点,为相应权重,则可通过如下步骤计算得到:The present invention uses sparse grid technology [18,19] to reduce the number of multi-dimensional integral configuration points, so that Indicates the one-dimensional i j valence precision Gaussian integral configuration point, is the corresponding weight, it can be calculated by the following steps:
2.1计算多维配置点2.1 Calculation of multi-dimensional configuration points
对于一个k-阶精度的d-维积分,用稀疏网格生成的一组配置点是的张量积的线性组合,由下式给出,For a d-dimensional integral of k-order precision, a set of configuration points generated with a sparse grid is A linear combination of tensor products of , given by,
其中,
2.2计算多维配置点相应的权重2.2 Calculate the corresponding weight of multi-dimensional configuration points
相应于配置点的权重由下式给出,corresponding to configuration point The weights for are given by,
可以证明,对于所有d-变量阶数至多为(2l+1)的多项式,稀疏网格是精确的。稀疏网格配置点数目可由下式计算得到:It can be shown that the sparse grid is exact for all polynomials of order at most (2l+1) in the d-variable. The number of sparse grid configuration points can be calculated by the following formula:
其中,表示所有d-维阶数至多为l的广义多项式混沌。和张量积策略相比,稀疏网格技术避免了和维度大小相关的呈指数增长的计算成本。in, Represents all d-dimensional generalized polynomial chaos of order at most l. Compared with the tensor product strategy, the sparse grid technique avoids the exponentially increasing computational cost related to the dimension size.
步骤3.计算每个配置点的最小时钟周期。Step 3. Calculate the minimum clock period for each configuration point.
对于每个配置点,本发明方法使用高效的最小环比率算法来计算最小时钟周期。这一过程包含如下四个子步骤。For each configuration point, the inventive method uses an efficient minimum ring ratio algorithm to calculate the minimum clock period. This process includes the following four sub-steps.
3.1构建带权约束图,同时包含电路逻辑和电路时钟树。3.1 Construct a weighted constraint graph, including both circuit logic and circuit clock trees.
构建包含电路逻辑和电路时钟树信息的带权约束图,每条边的权重定义如下:Construct a weighted constraint graph containing circuit logic and circuit clock tree information, and the weight of each edge is defined as follows:
其中,Epst代表带有后硅可调寄存器时钟树边集合,Rij代表相应于时钟树边(i,j)的后硅可调寄存器延时调节范围,Et代表正常时钟树边集合,Rij=Rji。Tinit是时钟周期初始值,该值必须足够大以保证在带权图中不存在负环。本发明方法将Tinit设置为Dij的最大值。后硅可调寄存器的延时可以被定义为aij=ti-tj。则后硅可调寄存器的约束|aij|≤Rij可以被形式化为:Among them, E pst represents the set of clock tree edges with post-silicon tunable registers, R ij represents the delay adjustment range of post-silicon tunable registers corresponding to the clock tree edge (i, j), E t represents the set of normal clock tree edges, R ij =R ji . T init is the initial value of the clock period, which must be large enough to ensure that there is no negative cycle in the weighted graph. The method of the present invention sets T init to the maximum value of D ij . The delay of the post silicon tunable register can be defined as a ij =t i -t j . Then the constraint |a ij |≤R ij of the post-silicon tunable register can be formalized as:
3.2计算每条边相应的δ。3.2 Calculate the corresponding δ of each side.
每条边相对应的δij可由如下公式计算得到:The δ ij corresponding to each side can be calculated by the following formula:
3.3应用Howard算法求解最小环比率问题。3.3 Apply Howard's algorithm to solve the minimum ring ratio problem.
每个后硅可调寄存器延时aij由其可调范围Rij约束,即|aij|≤Rij。则带后硅可调寄存器电路的时间约束可以形式化为:The delay a ij of each post-silicon adjustable register is constrained by its adjustable range R ij , ie |a ij |≤R ij . Then the time constraint of the post-silicon tunable register circuit can be formalized as:
其中,Buf表示时钟树中后硅可调寄存器集合,Pi表示从时钟树根节点到寄存器FFi的时钟路径,表示时钟路径Pi上的初始延时,ast表示后硅可调寄存器bufst的延时,Rst表示后硅可调寄存器bufst的可调节范围。表示从FFi到FFj的最大和最小延时。为简单起见,我们假定 Among them, Buf represents the post-silicon adjustable register set in the clock tree, Pi represents the clock path from the root node of the clock tree to the register FF i , Represents the initial delay on the clock path P i , a st represents the delay of the post-silicon adjustable register buf st , and R st represents the adjustable range of the post-silicon adjustable register buf st . Indicates the maximum and minimum delays from FF i to FF j . For simplicity, we assume
本发明方法采用最小环比率算法来计算最小时钟周期。在本发明中,使用Howard算法[20]解决最小环比率问题。计算最小时钟周期的优化问题可以表达如下,The method of the present invention adopts the minimum ring ratio algorithm to calculate the minimum clock period. In the present invention, the minimum ring ratio problem is solved using Howard's algorithm [20]. The optimization problem for computing the minimum clock period can be formulated as follows,
上述问题中的约束和式子(9)中的约束等价。可以看到建立时间约束和保持时间约束在变换后并没有改变,差别仅在于后硅可调寄存器约束。本发明假设时钟树中每个顶点时钟到达时间为ti。对于时钟树中每条上升边(i,j),后硅可调寄存器的延时为aij=ti-tj。对于每个后硅可调寄存器bufij,它在Epst有两条对应的边(i,j)和(j,i)。因此,约束|aij|≤Rij,Rij=Rji,等价于ti-tj≤Rij,
3.4计算最小时钟周期。3.4 Calculate the minimum clock period.
在求解完上述最小环比率问题之后,可以得到λ的最大值,记为λ*。则最小时钟周期为Tmin=Tinit-λ*。After solving the above minimum ring ratio problem, the maximum value of λ can be obtained, denoted as λ*. Then the minimum clock period is T min =T init -λ*.
步骤4.计算最小时钟周期广义多项式混沌展开系数。Step 4. Calculate the minimum clock period generalized polynomial chaos expansion coefficients.
未知系数可以采用Galerkin方法[21],通过最小化和之间的误差得到,即令,unknown coefficient The Galerkin method [21] can be used to minimize and The error between is obtained, that is,
对于所有(i1+…+iN)=0,1,…,M。这里<·,·>表示内积[19,20]。通过利用多项式混沌的正交性,未知系数可以被计算出来[18,19],For all (i 1 +...+i N )=0,1,...,M. Here <·, ·> represents the inner product [19,20]. By exploiting the orthogonality of polynomial chaos, unknown coefficients can be computed [18,19],
式(12)是多维积分,可以通过在配置点处的数值积分来近似,即:Equation (12) is a multidimensional integral, which can be approximated by numerical integration at configuration points, namely:
其中,是第k个配置点,是配置点处最小时钟周期,该值已在步骤3中计算得到。in, is the kth configuration point, is the configuration point The minimum clock cycle at , which has been calculated in step 3.
步骤5.计算带后硅可调寄存器电路的良率。Step 5. Calculate the yield rate of the post-silicon tunable register circuit.
带后硅可调寄存器电路的统计时序分析被定义为寻找良率y(T),Statistical timing analysis of circuits with post-silicon tunable registers is defined as finding the yield y(T),
y(T)=Prob(T≤T0),(14)y(T)=Prob(T≤T 0 ), (14)
其中,Prob表示概率函数。Among them, Prob represents the probability function.
在获得统计最小时钟周期的多项式混沌展开式之后,的累积概率函数也可以被计算出来。带后硅可调寄存器电路的良率就可以根据的累积概率函数推算出来。The minimum clock period to obtain statistics After the polynomial chaos expansion of The cumulative probability function of can also be calculated. The yield rate of the silicon tunable register circuit with the back can be based on Calculate the cumulative probability function of .
通过以上步骤,本发明可以获得带后硅可调寄存器电路统计时序分析的最终结果。本发明用于带后硅可调寄存器电路统计时序分析方法具有以下优点:Through the above steps, the present invention can obtain the final result of the statistical timing analysis of the post-band silicon adjustable register circuit. The method for statistical timing analysis of the post-band silicon adjustable register circuit of the present invention has the following advantages:
1.本发明首次在带后硅可调寄存器电路统计时序分析中应用随机配置的方法,通过使用稀疏网格技术来减少多维积分的配置点数目,避免了和维度大小相关的呈指数增长的计算成本。1. The present invention applies the method of random configuration in the statistical timing analysis of the post-band silicon adjustable register circuit for the first time, by using the sparse grid technology to reduce the number of configuration points of the multidimensional integral, and avoiding the exponential growth calculation related to the size of the dimension cost.
2.本方法较为高效,能够在获得和现有方法相比拟的精度情况下,显著减少程序运行时间,可用于解决大规模带后硅可调寄存器电路的统计时序分析问题。2. This method is more efficient, can significantly reduce the program running time while obtaining the accuracy comparable to the existing method, and can be used to solve the statistical timing analysis problem of large-scale post-band silicon adjustable register circuits.
附图说明Description of drawings
图1为本发明方法流程图;Fig. 1 is a flow chart of the method of the present invention;
图2为一个典型的后硅可调寄存器结构示意图;FIG. 2 is a schematic diagram of a typical post-silicon adjustable register structure;
图3(a)为本发明第一实施例时序电路示意图;Fig. 3 (a) is the schematic diagram of the timing circuit of the first embodiment of the present invention;
图3(b)为本发明第一实施例时序约束图示意图;FIG. 3(b) is a schematic diagram of a timing constraint diagram of the first embodiment of the present invention;
图3(c)为本发明第一实施例带权约束图示意图;Fig. 3(c) is a schematic diagram of a weighted constraint diagram according to the first embodiment of the present invention;
图3(d)为本发明第一实施例相应δ计算示意图。Fig. 3(d) is a schematic diagram of corresponding δ calculation in the first embodiment of the present invention.
具体实施方式detailed description
为使本发明的上述目的、特征和优点能够更加明显易懂,下面通过具体实施例和附图进一步说明本发明。需要特别指出的是,具体实施例和附图仅是为了说明,显然本领域的普通技术人员可以根据本文说明,在本发明范围内对本发明做出各种各样的修改和改变,这些修正和改变也纳入本发明范围内。另外,本发明引用了公开文献,这些文献是为了更清楚地描述本发明,它们的全文内容均纳入本文进行参考,等价于它们的全文已经在本文中重复叙述过。In order to make the above objects, features and advantages of the present invention more comprehensible, the present invention will be further described below through specific embodiments and accompanying drawings. It should be pointed out that the specific embodiments and accompanying drawings are only for illustration, and those of ordinary skill in the art can make various modifications and changes to the present invention within the scope of the present invention according to the description herein. These amendments and Modifications are also included within the scope of the present invention. In addition, the present invention refers to published documents, and these documents are used to describe the present invention more clearly, and their entire contents are incorporated herein by reference, which is equivalent to their entire contents having been repeatedly described herein.
实施例1用来说明本发明方法主要步骤。Embodiment 1 is used to illustrate the main steps of the method of the present invention.
本发明用于带后硅可调寄存器电路统计时序分析方法实现步骤如图1所示。The implementation steps of the method for statistical timing analysis of the post-band silicon adjustable register circuit of the present invention are shown in FIG. 1 .
图3(a)是一个带有逻辑路径延时的时序电路例子。图3(b)是其相应的时序约束。图3(b)中每个顶点代表图3(a)中一个寄存器。图3(a)中每个逻辑路径相应于图3(b)中两条时序约束边。例如,图3(a)中从FF1到FF2的逻辑路径延时是3。从FF1到FF2最大延时和最小延时均是3。那么,图3(b)中应该增加两条相应的时序约束边。边(1,2)相应于建立时间约束为:Figure 3(a) is an example of a sequential circuit with a logic path delay. Figure 3(b) is its corresponding timing constraints. Each vertex in Figure 3(b) represents a register in Figure 3(a). Each logical path in Figure 3(a) corresponds to two timing constraint edges in Figure 3(b). For example, the logical path delay from FF 1 to FF 2 in Figure 3(a) is 3. The maximum and minimum delays from FF 1 to FF 2 are both 3. Then, two corresponding timing constraint edges should be added in Fig. 3(b). Edge (1, 2) corresponds to the setup time constraint as:
ti-tj≤T-3。t i -t j ≤ T-3.
边(1,2)相应于保持时间约束为:Edge (1, 2) corresponds to the hold time constraint as:
ti-tj≤3。t i -t j ≤3.
该电路统计时序分析过程如下:The circuit statistical timing analysis process is as follows:
步骤1.主元压缩得到N个独立随机变量。Step 1. Pivot component compression to obtain N independent random variables.
步骤2.生成稀疏网格配置点。Step 2. Generate sparse grid configuration points.
配置点为:The configuration points are:
其中,
步骤3.计算每个配置点的最小时钟周期,步骤如下:Step 3. Calculate the minimum clock period of each configuration point, the steps are as follows:
步骤3.1:构建带权约束图,同时包含电路逻辑和电路时钟树。图3(c)所示为带权约束图,同时包含图3(a)电路和一个时钟树。实线表示逻辑路径,虚线表示时钟树边。Step 3.1: Construct a weighted constraint graph, including both circuit logic and circuit clock trees. Figure 3(c) shows the weighted constraint diagram, which also includes the circuit of Figure 3(a) and a clock tree. Solid lines represent logical paths, and dashed lines represent clock tree edges.
步骤3.2:计算每条边相应的δ。图3(c)所示约束图的相应δ由图3(d)所示。Step 3.2: Calculate the corresponding δ of each edge. The corresponding δ for the constraint graph shown in Fig. 3(c) is shown in Fig. 3(d).
步骤3.3:应用Howard算法求解最小环比率问题。求解最小环比率问题获得最优值λ*。Step 3.3: Apply Howard's algorithm to solve the minimum ring ratio problem. Solve the minimum ring ratio problem to obtain the optimal value λ*.
步骤3.4:计算最小时钟周期。在求解完上述最小环比率问题之后,我们可以得到λ的最大值,记为λ*。则最小时钟周期为Tmin=Tinit-λ*。Step 3.4: Calculate the minimum clock period. After solving the above minimum ring ratio problem, we can get the maximum value of λ, denoted as λ*. Then the minimum clock period is T min =T init -λ*.
步骤4.计算最小时钟周期广义多项式混沌展开系数。Step 4. Calculate the minimum clock period generalized polynomial chaos expansion coefficients.
其中,是第k个配置点,是配置点处最小时钟周期,该值已在步骤3中计算得到。in, is the kth configuration point, is the configuration point The minimum clock cycle at , which has been calculated in step 3.
步骤5.计算带后硅可调寄存器电路的良率。Step 5. Calculate the yield rate of the post-silicon tunable register circuit.
带后硅可调寄存器电路的统计时序分析被定义为寻找良率y(T),Statistical timing analysis of circuits with post-silicon tunable registers is defined as finding the yield y(T),
y(T)=Prob(T≤t0),y(T)=Prob(T≤t 0 ),
其中,Prob表示概率函数。Among them, Prob represents the probability function.
实施例2用来表明本发明提出的统计时序分析方法能够在获得和现有方法相比拟精度的同时,显著降低程序运行时间。Example 2 is used to show that the statistical timing analysis method proposed by the present invention can significantly reduce the program running time while obtaining comparable accuracy to the existing method.
在本实施例中,采用和文献[17]相似的设置。用10000个采样点的蒙特卡洛方法所得结果作为判断准确性的比较标准。测试电路来自ISCAS89,测试电路中的基本单元门映射到中芯国际65nm单元库。本实施例中,每个寄存器拥有一个后硅可调寄存器,每个寄存器调节范围设为电路最长路径延时的0.3倍。在蒙特卡洛方法和本发明方法中,使用6个随机变量(晶体管长度,晶体管宽度,NMOSFET和PMOSFET的阈值电压)来对工艺波动建模。这些独立随机变量的标准差设为名义值的10%。本例中,使用二次延时模型,并且用基于随机配置点的SSTA方法来获得逻辑路径二次延时表达式[23]。在这一SSTA引擎中,使用确定性STA引擎来推导每个配置点逻辑路径的延时。最后,根据随机配置理论,推导出二次延时表达式。测试用例和结果如表1所示。#Nodes和#Edges表示时序约束图中顶点和边的数目。Err(μ)表示最小时钟周期平均值相对误差,Err(σ)表示最小时钟周期标准偏差相对误差。Merge表示文献[17]中的方法。运行时间包括随机配置点生成,最小时钟周期计算和良率计算。In this embodiment, the settings similar to those in [17] are adopted. The results obtained by the Monte Carlo method with 10,000 sampling points are used as the comparison standard for judging the accuracy. The test circuit is from ISCAS89, and the basic unit gates in the test circuit are mapped to the SMIC 65nm unit library. In this embodiment, each register has a post-silicon adjustable register, and the adjustment range of each register is set to 0.3 times the longest path delay of the circuit. In the Monte Carlo method and the method of the present invention, 6 random variables (transistor length, transistor width, threshold voltage of NMOSFET and PMOSFET) are used to model process fluctuations. The standard deviation of these independent random variables was set at 10% of the nominal value. In this example, the quadratic delay model is used, and the SSTA method based on random configuration points is used to obtain the quadratic delay expression of the logic path [23]. In this SSTA engine, a deterministic STA engine is used to derive the latency of each configuration point's logical path. Finally, according to the stochastic allocation theory, the expression of the quadratic delay is deduced. The test cases and results are shown in Table 1. #Nodes and #Edges represent the number of vertices and edges in the timing constraint graph. Err (μ) represents the relative error of the average value of the minimum clock cycle, and Err (σ) represents the relative error of the standard deviation of the minimum clock cycle. Merge represents the method in [17]. Run time includes random configuration point generation, minimum clock cycle calculation and yield calculation.
本发明方法最小时钟周期平均值相对误差的平均值是0.16%,明显小于文献[17]中的方法。本发明方法最小时钟周期标准偏差相对误差的平均值是0.48%,略高于文献[17]中的方法,但是仍然是足够精确的。因此,本发明方法的精确性能够和文献[17]中的方法相比拟。The average relative error of the minimum clock cycle average value of the method of the present invention is 0.16%, which is obviously smaller than the method in the literature [17]. The average value of the relative error of the minimum clock cycle standard deviation of the method of the present invention is 0.48%, slightly higher than the method in the literature [17], but still sufficiently accurate. Therefore, the accuracy of the method of the present invention can be compared with the method in the literature [17].
从表格1中可以看到,对于小规模电路,本发明方法运行时间和文献[17]相当或者更长。但是,对于大规模电路本发明方法可以取得超过10倍的加速比。文献[17]中的方法高度依赖于电路结构,例如,测试电路s1423规模远小于s13207,但是s1423的运行时间却比s13207的长。S15850的规模大约是s13207的4倍,但是S15850的运行时间却是s13207的36倍。相对比的是,本发明方法和时序约束边的数量呈近似线性关系。本发明方法的运行时间是可预测的,并且和电路结构关联性较弱,这在实际应用中是非常良好的特性。It can be seen from Table 1 that for small-scale circuits, the running time of the method of the present invention is equivalent to or longer than that of the literature [17]. However, for large-scale circuits, the method of the present invention can achieve a speedup ratio of more than 10 times. The method in the literature [17] is highly dependent on the circuit structure, for example, the scale of the test circuit s1423 is much smaller than that of s13207, but the running time of s1423 is longer than that of s13207. The size of the S15850 is about 4 times that of the s13207, but the running time of the S15850 is 36 times that of the s13207. In contrast, the method of the present invention has an approximately linear relationship with the number of timing constraint edges. The running time of the method of the invention is predictable and has little correlation with the circuit structure, which is a very good characteristic in practical application.
表1本发明方法和现有方法比较结果Table 1 The inventive method and existing method comparative result
Claims (5)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201410663517.9A CN105677932A (en) | 2014-11-19 | 2014-11-19 | Statistical timing analysis method used for post-silicon adjustable register circuits |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201410663517.9A CN105677932A (en) | 2014-11-19 | 2014-11-19 | Statistical timing analysis method used for post-silicon adjustable register circuits |
Publications (1)
Publication Number | Publication Date |
---|---|
CN105677932A true CN105677932A (en) | 2016-06-15 |
Family
ID=56944909
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201410663517.9A Pending CN105677932A (en) | 2014-11-19 | 2014-11-19 | Statistical timing analysis method used for post-silicon adjustable register circuits |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN105677932A (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN110175391A (en) * | 2018-12-05 | 2019-08-27 | 中国航空工业集团公司西安飞行自动控制研究所 | One kind being based on the polynomial accelerometer Uncertainty Analysis Method of any type chaos |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102361449A (en) * | 2004-05-19 | 2012-02-22 | 阿尔特拉公司 | Apparatus and methods for adjusting performance of integrated circuits |
US8490045B2 (en) * | 2007-10-09 | 2013-07-16 | International Business Machines Corporation | Method and device for selectively adding timing margin in an integrated circuit |
CN103515380A (en) * | 2012-06-27 | 2014-01-15 | 三星电子株式会社 | Semiconductor integrated circuit, method of designing the same, and method of fabricating the same |
-
2014
- 2014-11-19 CN CN201410663517.9A patent/CN105677932A/en active Pending
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102361449A (en) * | 2004-05-19 | 2012-02-22 | 阿尔特拉公司 | Apparatus and methods for adjusting performance of integrated circuits |
US8490045B2 (en) * | 2007-10-09 | 2013-07-16 | International Business Machines Corporation | Method and device for selectively adding timing margin in an integrated circuit |
CN103515380A (en) * | 2012-06-27 | 2014-01-15 | 三星电子株式会社 | Semiconductor integrated circuit, method of designing the same, and method of fabricating the same |
Non-Patent Citations (3)
Title |
---|
BING LI等: ""Fast statistical timing analysis for circuits with Post-Silicon Tunable clock buffers"", 《COMPUTER-AIDED DESIGN (ICCAD), 2011 IEEE/ACM INTERNATIONAL CONFERENCE ON》 * |
XINGBAO ZHOU等: ""Efficient statistical timing analysis for circuits with post-silicon tunable buffers"", 《IEICE TRANSACTION ON FUNDAMENTALS OF ELECTRONICS,COMMUNICATIONS AND COMPUTER SCIENCES》 * |
聂笔剑等: """Path-Based静态时序分析方法"", 《电子器件》 * |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN110175391A (en) * | 2018-12-05 | 2019-08-27 | 中国航空工业集团公司西安飞行自动控制研究所 | One kind being based on the polynomial accelerometer Uncertainty Analysis Method of any type chaos |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
Cheng et al. | Non-linear statistical static timing analysis for non-Gaussian variation sources | |
Sarangi et al. | VARIUS: A model of process variation and resulting timing errors for microarchitects | |
US7243320B2 (en) | Stochastic analysis process optimization for integrated circuit design and manufacture | |
Li et al. | Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations | |
US8005660B2 (en) | Hierarchical stochastic analysis process optimization for integrated circuit design and manufacture | |
Li et al. | Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions | |
Bhardwaj et al. | A framework for statistical timing analysis using non-linear delay and slew models | |
Gong et al. | A fast non-Monte-Carlo yield analysis and optimization by stochastic orthogonal polynomials | |
Liu et al. | Accurate standard cell characterization and statistical timing analysis using multivariate adaptive regression splines | |
Ukhov et al. | Probabilistic analysis of power and temperature under process variation for electronic system design | |
Ukhov et al. | Temperature-centric reliability analysis and optimization of electronic systems under process variation | |
CN105677932A (en) | Statistical timing analysis method used for post-silicon adjustable register circuits | |
TW200404232A (en) | Modeling devices in consideration of process fluctuations | |
Basu et al. | Variation-aware macromodeling and synthesis of analog circuits using spline center and range method and dynamically reduced design space | |
Bhardwaj et al. | A unified approach for full chip statistical timing and leakage analysis of nanoscale circuits considering intradie process variations | |
CN110738014B (en) | Method for determining key process fluctuation in time sequence circuit statistical analysis | |
CN102054090A (en) | Statistical timing analysis method and device based on improved adaptive random configuration method | |
Singh et al. | A geometric programming-based worst case gate sizing method incorporating spatial correlation | |
Gong et al. | Fast non-monte-carlo transient noise analysis for high-precision analog/RF circuits by stochastic orthogonal polynomials | |
Gupta et al. | Variation-aware variable latency design | |
Wang et al. | Parameterized macromodeling for analog system-level design exploration | |
Zhu et al. | Generalized stochastic collocation method for variation-aware capacitance extraction of interconnects considering arbitrary random probability | |
Rashid et al. | Modernizing hardware circuits through high-level synthesis | |
Li et al. | Efficient parametric yield extraction for multiple correlated non-Normal performance distributions of analog/RF circuits | |
Vaghef et al. | A graph based approach for reliability analysis of nano-scale VLSI logic circuits |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20160615 |
|
WD01 | Invention patent application deemed withdrawn after publication |