CN105097694B - Preparation method of semiconductor device - Google Patents
Preparation method of semiconductor device Download PDFInfo
- Publication number
- CN105097694B CN105097694B CN201410217871.9A CN201410217871A CN105097694B CN 105097694 B CN105097694 B CN 105097694B CN 201410217871 A CN201410217871 A CN 201410217871A CN 105097694 B CN105097694 B CN 105097694B
- Authority
- CN
- China
- Prior art keywords
- layer
- semiconductor substrate
- semiconductor material
- region
- gate structure
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
Images
Landscapes
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
The invention relates to a preparation method of a semiconductor device, which comprises the following steps: providing a semiconductor substrate, wherein a grid structure is formed on the semiconductor substrate; performing ion implantation on two sides of the gate structure; carrying out pre-cleaning treatment on the surface of the semiconductor substrate to remove amorphous substances formed on the surface of the semiconductor substrate in the ion implantation step; and epitaxially growing a first semiconductor material layer on the semiconductor substrate on the two sides of the processed gate structure. According to the invention, the Si layer is formed in the PMOS region, then HCl is selected to process the surface of the source drain region of the NMOS region, a groove is formed on the source drain of the NMOS region while the Si layer on the PMOS region is removed, and then the Si layer is epitaxially grown again to form epitaxial Si layers on the source drain of the NMOS region and the source drain of the PMOS region, so that the stress design on the source drain of the NMOS region and the source drain of the PMOS region is realized, and the performance and the yield of a semiconductor device are improved.
Description
Technical Field
The invention relates to the field of semiconductors, in particular to a preparation method of a semiconductor device.
Background
As integrated circuit technology continues to advance, more devices will be integrated on a chip, and the chip will also adopt faster speeds. With these demands, the geometric size of the device will be reduced, and new materials, new technologies and new manufacturing processes are adopted in the chip manufacturing process. At present, the preparation of semiconductor devices has been developed to the nanometer level, and meanwhile, the preparation process of the conventional devices is gradually mature.
In the prior art, a recess is generally formed on a PMOS source and a drain, then SiGe is epitaxially grown, a Si layer is generally grown on the source and the drain of the PMOS/NMOS in the PMOS/NMOS device, and then NiSi with high quality is further formed; in the NMOS device, a step of performing heavy ion implantation (Implantation implantation) is further included before the Si layer is epitaxially grown in order to further improve the performance.
Specifically, in the prior art, as shown in fig. 1a-1b, a semiconductor substrate 11 is provided, and an NMOS region and a PMOS region are formed in the semiconductor substrate to form a PMOS device and an NMOS device, respectively, and then an NMOS gate structure 12' and a PMOS gate structure 12 are formed in the NMOS region, a recess is formed in the PMOS region at two sides of the PMOS gate structure 12, and a SiGe layer 14 and a second semiconductor material layer 13 are epitaxially grown, after the SiGe layer 14 is formed, heavy ion implantation (implantation height) may be performed in the NMOS region to form a source drain region (not shown in the figure), and then referring to fig. 1b, a Si layer is epitaxially grown on the source drain region of the NMOS region and the PMOS region, however, since heavy ion implantation (implantation) is performed in the NMOS region, an amorphous material (amorphous) is formed on the surface of the NMOS region, and thus an Si layer cannot be epitaxially grown on the NMOS region, which causes difficulty in manufacturing.
Therefore, although the PMOS region can be designed with stress in the prior art, the NMOS region has a surface morphology that changes in the middle of the heavy ion implantation (implantation) process, and the Si layer cannot be epitaxially grown on the surface of the NMOS region, which is a problem that is difficult to overcome in device fabrication.
Disclosure of Invention
In this summary, concepts in a simplified form are introduced that are further described in the detailed description. This summary of the invention is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used as an aid in determining the scope of the claimed subject matter.
In order to solve the above problems, the present invention provides a method for manufacturing a semiconductor device, comprising:
providing a semiconductor substrate, wherein a grid structure is formed on the semiconductor substrate;
performing ion implantation on two sides of the gate structure;
carrying out pre-cleaning treatment on the surface of the semiconductor substrate to remove amorphous substances formed on the surface of the semiconductor substrate in the ion implantation step;
and epitaxially growing a first semiconductor material layer on the semiconductor substrate on the two sides of the gate structure after the pre-cleaning treatment.
Preferably, the method comprises:
providing a semiconductor substrate, wherein an NMOS region and a PMOS region are formed on the semiconductor substrate, and an NMOS gate structure and a PMOS gate structure are respectively formed on the NMOS region and the PMOS region;
performing ion implantation on two sides of the NMOS gate structure and the PMOS gate structure;
forming grooves in the semiconductor substrate on two sides of the PMOS gate structure, and epitaxially growing a stress layer and a second semiconductor material layer in the grooves;
carrying out pre-cleaning treatment on the surface of the semiconductor substrate to remove the amorphous substances formed in the ion implantation step and remove the second semiconductor material layer at the same time;
and epitaxially growing the first semiconductor material layer on the semiconductor substrate on two sides of the NMOS gate structure and the stress layer on two sides of the PMOS gate structure.
Preferably, the stress layer is a SiGe layer, the first semiconductor material layer is a Si layer, and the second semiconductor material layer is Si.
Preferably, the method further comprises, after forming the first layer of semiconductor material, the step of further processing the first layer of semiconductor material to form NiSi.
Preferably, the height of the first semiconductor material layer is greater than the height of the semiconductor substrate to form an elevated first semiconductor material layer.
Preferably, HCl gas is selected to perform a pre-cleaning process on the surface of the semiconductor substrate to remove the amorphous material.
Preferably, the first layer of semiconductor material is epitaxially grown at a temperature of 500-800 deg.C and a pressure of 1-100 torr.
Preferably, the reaction gas for epitaxially growing the first semiconductor material layer is SiH4Or SiH2Cl2、HCl、B2H6Mixed gas of Si and SiH4Or SiH2Cl2、B2H6The gas flow rate of HCl is 1sccm-1000 sccm.
Preferably, H is selected2As a reaction carrier gas, the H2The gas flow rate of (a) is 0.1slm to 50 slm.
In order to solve the problem that a Si layer cannot grow on the surface of the NMOS region after source and drain injection in the prior art, HCl is selected to pre-clean the surface of the source and drain region of the NMOS region after the Si layer is formed in the PMOS region, a groove is formed on the source and drain of the NMOS region while the Si layer on the PMOS region is removed, and then the Si layer is epitaxially grown again to form epitaxial Si layers on the source and drain of the NMOS region and the PMOS region, so that the stress design on the source and drain of the NMOS and PMOS regions is realized, and the performance and the yield of a semiconductor device are improved.
Drawings
The following drawings of the invention are included to provide a further understanding of the invention. There are shown in the drawings, embodiments and descriptions thereof, which are used to explain the principles and apparatus of the invention. In the drawings, there is shown in the drawings,
FIGS. 1a-1b are schematic diagrams of prior art semiconductor device fabrication;
FIGS. 2a-2c are schematic structural diagrams illustrating the fabrication of a semiconductor device in accordance with one embodiment of the present invention;
fig. 3 is a process flow diagram for fabricating a semiconductor device in accordance with an embodiment of the present invention.
Detailed Description
In the following description, numerous specific details are set forth in order to provide a more thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without one or more of these specific details. In other instances, well-known features have not been described in order to avoid obscuring the invention.
In order to thoroughly understand the present invention, a detailed description will be given in the following description to illustrate a method of manufacturing a semiconductor device according to the present invention. It will be apparent that the invention may be practiced without limitation to specific details that are within the skill of one of ordinary skill in the semiconductor arts. The following detailed description of the preferred embodiments of the invention, however, the invention is capable of other embodiments in addition to those detailed.
It should be noted that the terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of exemplary embodiments according to the invention. As used herein, the singular is intended to include the plural unless the context clearly dictates otherwise. It will be further understood that the terms "comprises" and/or "comprising," when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
Exemplary embodiments according to the present invention will now be described in more detail with reference to the accompanying drawings. These exemplary embodiments may, however, be embodied in many different forms and should not be construed as limited to only the embodiments set forth herein. It is to be understood that these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of these exemplary embodiments to those skilled in the art. In the drawings, the thicknesses of layers and regions are exaggerated for clarity, and the same elements are denoted by the same reference numerals, and thus the description thereof will be omitted.
In order to solve the problem that a Si layer cannot grow on the surface of the NMOS region after source and drain injection in the prior art, HCl is selected to pre-clean the surface of the source and drain region of the NMOS region after the Si layer is formed in the PMOS region, the Si layer on the PMOS region is removed, the surface of the NMOS region is removed at the same time, so that amorphous substances generated in heavy ion injection are removed, a groove is formed on the source and drain of the NMOS region, then the Si layer is epitaxially grown again, so that epitaxial Si layers are formed on the source and drain of the NMOS region and the PMOS region, and the problems in the prior art are well solved, and the method is further described with reference to the attached drawings 1a-1 b.
First, step 201 is executed to provide a semiconductor substrate 101, wherein an NMOS region and a PMOS region are formed on the semiconductor substrate 101, and an NMOS gate structure 102' and a PMOS gate structure 102 are formed in both the NMOS region and the PMOS region.
Specifically, referring to fig. 2a, first, a semiconductor substrate 101 is provided, the semiconductor substrate 101 may be at least one of the following materials: silicon, silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-on-insulator-silicon-germanium (S-SiGeOI), silicon-on-insulator-silicon-germanium (SiGeOI), and germanium-on-insulator (GeOI), among others. In the present invention, silicon-on-insulator (SOI) is preferable, and the silicon-on-insulator (SOI) includes a support substrate, an oxide insulating layer, and a semiconductor material layer in this order from bottom to top, but is not limited to the above example.
An isolation structure is formed in the semiconductor substrate 101, and the isolation structure is a Shallow Trench Isolation (STI) structure or a local oxidation of silicon (LOCOS) isolation structure. Various well structures and channel layers on the surface of the substrate are also formed in the semiconductor substrate. Generally, the ion doping conductivity type of the well (well) structure is the same as that of the channel layer, but the concentration of the well (well) structure is lower than that of the gate channel layer, the ion implantation depth is wider, and the depth of the well (well) structure is required to be larger than that of the isolation structure.
An NMOS region and a PMOS region are respectively formed in the semiconductor substrate, an NMOS gate structure 102' is formed on the NMOS region, and a PMOS gate structure 102 is formed on the PMOS region.
Wherein the number of the NMOS gate structures 102 'and the number of the PMOS gate structures 102 are not limited to a certain range of values, in a specific embodiment of the present invention, the number of the NMOS gate structures 102' is 3, and the number of the PMOS gate structures 102 is 1.
Specifically, a gate dielectric layer (not shown) is formed on the semiconductor substrate 101, and the gate dielectric layer may be silicon oxide (SiO)2) Or silicon oxynitride (SiON). Oxidation processes known to those skilled in the art may be employedSuch as furnace oxidation, rapid thermal annealing oxidation (RTO), in-situ steam oxidation (ISSG), etc., to form a gate dielectric layer of silicon oxide. A layer of gate material is then deposited, comprising a multi-layer structure of semiconductor material, such as silicon, germanium, metal, or combinations thereof. And etching the grid dielectric layer and the grid material layer to form a grid structure.
Preferably, spacers (not shown in the figure) may be further formed on both sides of the gate structure in this step, and the spacers may be made of one or a combination of silicon oxide, silicon nitride and silicon oxynitride. As an optimized implementation manner of this embodiment, the spacer is composed of silicon oxide and silicon nitride, and the specific process includes: a first silicon oxide layer, a first silicon nitride layer and a second silicon oxide layer are formed on a semiconductor substrate, and then a spacer is formed by an etching method.
Further, a step of forming offset sidewalls may be further included between the forming of the spacers, wherein the shallow trench and the gate structure, the ion implantation, and the like are exemplary and not limited to this embodiment, and those skilled in the art may select other methods commonly used in the art or form other active devices in the substrate according to the requirement of device fabrication.
Step 202 is executed to perform ion implantation on two sides of the NMOS gate structure 102' and the PMOS gate structure 102.
Specifically, the implantation is performed at two sides of the NMOS gate structure 102' and the PMOS gate structure 102 with an ion energy of 1kev to 10kev and an ion dose of 5 × 1014-5×1016Atom/cm2. In the step, a layer of amorphous substance is formed on the surface of the NMOS region after the NMOS region is heavily ion-implanted, and the growth of the Si material layer on the surface of the Si material layer is hindered in the subsequent step.
Step 203 is executed, a groove is formed in the semiconductor substrate 101 at two sides of the PMOS gate structure 102, and the stress layer 104 and the second semiconductor material layer 103 are epitaxially grown in the groove.
Specifically, with continued reference to FIG. 2a, recesses are etched into the PMOS region on both sides of the PMOS gate 102. in the present invention, it is preferred to form a "sigma" shaped recess, as shown in FIG. 2a, and then epitaxially grow a SiGe layer in the recess.
The deposition of the SiGe layer may be one of Low Pressure Chemical Vapor Deposition (LPCVD), Laser Ablation Deposition (LAD) and Selective Epitaxial Growth (SEG) formed by selective Chemical Vapor Deposition (CVD), non-selective Chemical Vapor Deposition (CVD), Physical Vapor Deposition (PVD) or Atomic Layer Deposition (ALD). Chemical Vapor Deposition (CVD) is preferred in the present invention.
Specifically, a source gas, such as GeH gas containing Ge, is introduced during the deposition of the SiGe layer4And select H2As a carrier gas, wherein the flow ratio of the reaction gas and the carrier gas is 0.01, SiH is selected2Cl2As the reaction gas, H is selected2As the carrier gas, wherein the flow ratio of the reaction gas and the carrier gas is 0.01, the deposition temperature is 500-950 ℃, preferably 650-750 ℃, and the gas pressure is 10-100Torr, preferably 20-40 Torr.
Preferably, the step of planarization is further included after the SiGe layer is formed, and the planarization of the surface can be achieved by using a planarization method which is conventional in the field of semiconductor manufacturing. Non-limiting examples of the planarization method include a mechanical planarization method and a chemical mechanical polishing planarization method. Chemical mechanical polishing planarization methods are more commonly used.
In this step, a step of epitaxially growing a second semiconductor material layer 103 is further included, and specifically, in an embodiment of the present invention, in order to epitaxially grow the first semiconductor material layer 105 on the NMOS region, HCl is selected to perform a pre-cleaning process on the NMOS region and the PMOS region, but the HCl has a larger etching capability for the SiGe layer, so that the second semiconductor material layer 103 needs to be formed on the SiGe layer to protect the SiGe layer from being etched.
Preferably, the second semiconductor material layer 103 is preferably Si, and specifically, the reaction gas may include hydrogen (H)2) Entrained silicon tetrachloride (SiCl)4) Or trichlorosilane (SiHCl)3) Silane (SiH)4) And dichlorosilane (SiH)2Cl2) And the like, into a reaction chamber in which the silicon substrate is placed, and a high-temperature chemical reaction is performed in the reaction chamber to reduce or thermally decompose a silicon-containing reaction gas, and the resulting silicon atoms are epitaxially grown on the surface of the SiGe layer.
Step 204 is executed to perform a pre-cleaning process on the surface of the semiconductor substrate 101 to remove the amorphous material formed in the ion implantation step and to remove the second semiconductor material layer 103 at the same time.
Specifically, referring to fig. 2b, the surface of the NMOS is pre-cleaned to remove the amorphous material on the surface of the NMOS area to form the recess 20 and simultaneously remove the second semiconductor material layer 103, in the present invention, the semiconductor substrate on both sides of the NMOS gate is pre-cleaned to remove the amorphous material formed during the ion implantation process and to perform pre-cleaning for the deposition of the first semiconductor material layer 105, preferably, the etching gas selected for etching in this step is HCl, and the carrier gas of the etching gas is H2。
In an embodiment of the invention, the etching gas is HCl, wherein the etching temperature is 500-850 ℃, more preferably 600-700 ℃, the HCl gas flow is 20-500 sccm, and the H gas flow is2The gas flow of (a) is 15-45 slm, the gas flow of HCl and H2The gas flow rate ratio of (2-5X 10)-3In the present invention, it is preferably 3.5 to 4X 10-3The etching effect is better in the preferable range.
And forming a groove 20 on the source and drain of the NMOS after the pre-cleaning treatment, wherein the depth of the groove 20 is not limited to a certain numerical range, and the groove can be designed according to requirements, wherein the second semiconductor material layer 103 is completely removed in the step, and the etching is stopped until the second semiconductor material layer 103 is just removed, so that the SiGe layer is prevented from being damaged.
And step 205 is executed, and the first semiconductor material layer 105 is epitaxially grown on the semiconductor substrate on two sides of the NMOS gate structure and on the stress layer 104 on two sides of the PMOS gate structure.
Specifically, referring to fig. 2c, the first semiconductor material layer 105 is formed by using an epitaxial growth method, and the selective epitaxial growth method may be one of Low Pressure Chemical Vapor Deposition (LPCVD), ultra low pressure chemical vapor deposition (VLPCVD), Plasma Enhanced Chemical Vapor Deposition (PECVD), Ultra High Vacuum Chemical Vapor Deposition (UHVCVD), Rapid Thermal Chemical Vapor Deposition (RTCVD), Atmospheric Pressure Chemical Vapor Deposition (APCVD), and Molecular Beam Epitaxy (MBE). The selective epitaxial growth can be performed in a reaction chamber of UHV/CVD process, for example, and the process temperature is approximately in the range of 550-880 ℃.
The reaction gas for epitaxial growth is SiH4Or SiH2Cl2Mixed gas of (3), HCl, B2H6And H2The mixed gas of (4), wherein the SiH4 and the SiH2Cl2、B2H6HCl gas flow rate is 1sccm-1000sccm, wherein H2As a reaction carrier gas, H2The gas flow rate of (a) is 0.1slm to 50 slm.
Preferably, the epitaxy temperature of the first layer of semiconductor material 105 in this step is 500-800 ℃ and the epitaxy pressure is 1-100 torr.
Preferably, the method may further include a step of performing source and drain implantation, and In a specific embodiment of the present invention, the source and drain implantation is performed on the NMOS region and the PMOS region, wherein heavy ion implantation is selected In the present invention, and In this step, the ion is one or more of B, BFx, BHx, P, As, In, C, and Ge, and the ion implantation dose is 10E16-10E13 atoms/cm3The ion implantation energy is 1KeV-500KeV, and a lower implantation temperature is selected in the step.
Preferably, an annealing step may be further performed after the source-drain implantation, and specifically, after the thermal annealing step is performed, damage on the silicon wafer may be eliminated, the minority carrier lifetime and the mobility may be recovered to different degrees, and impurities may also be activated to a certain ratio, so that the device efficiency may be improved.
The annealing step is generally to heat the substrate to a certain temperature under the protection of high vacuum or high purity gas, wherein the high purity gas is preferably nitrogen or inert gas, the temperature of the thermal annealing step is 800-.
As a further preference, rapid thermal annealing may be selected in the present invention, and specifically, one of the following modes may be selected: pulsed laser rapid annealing, pulsed electron beam rapid annealing, ion beam rapid annealing, continuous wave laser rapid annealing, and incoherent broadband light source (e.g., halogen lamp, arc lamp, graphite heating) rapid annealing, etc. The person skilled in the art can select it as desired and is not limited to the examples given.
Further steps of forming NiSi and other conventional processes are included after forming the first semiconductor material layer 105, and the NiSi can be formed by methods commonly used in the art, which are not described herein again.
In order to solve the problem that a Si layer cannot grow on the surface of the NMOS region after source and drain injection in the prior art, HCl is selected to process the surface of the source and drain region of the NMOS region after the Si layer is formed in the PMOS region, a groove is formed on the source and drain of the NMOS region while the Si layer on the PMOS region is removed, and then the Si layer is epitaxially grown again so as to form epitaxial Si layers on the source and drain of the NMOS region and the PMOS region, so that the stress design on the source and drain of the NMOS and PMOS regions is realized, and the performance and the yield of a semiconductor device are improved.
Fig. 3 is a process flow diagram for fabricating a semiconductor device in an embodiment of the invention, which specifically includes the steps of:
step 204 of performing a pre-cleaning treatment on the surface of the semiconductor substrate to remove the amorphous substance formed in the ion implantation step and simultaneously remove the second semiconductor material layer;
step 205 is to epitaxially grow the first semiconductor material layer on the semiconductor substrate on both sides of the NMOS gate structure and on the stress layer on both sides of the PMOS gate structure.
The present invention has been illustrated by the above embodiments, but it should be understood that the above embodiments are for illustrative and descriptive purposes only and are not intended to limit the invention to the scope of the described embodiments. Furthermore, it will be understood by those skilled in the art that the present invention is not limited to the embodiments described above, and that many variations and modifications may be made in accordance with the teachings of the present invention, which variations and modifications are within the scope of the present invention as claimed. The scope of the invention is defined by the appended claims and equivalents thereof.
Claims (8)
1. A method of making a semiconductor device, comprising:
providing a semiconductor substrate, wherein an NMOS region and a PMOS region are formed on the semiconductor substrate, and an NMOS gate structure and a PMOS gate structure are respectively formed on the NMOS region and the PMOS region;
performing source-drain ion implantation on two sides of the NMOS gate structure and the PMOS gate structure;
forming grooves in the semiconductor substrate on two sides of the PMOS gate structure, and epitaxially growing a stress layer and a second semiconductor material layer in the grooves;
carrying out pre-cleaning treatment on the surface of the semiconductor substrate to remove the amorphous substances formed in the ion implantation step and remove the second semiconductor material layer at the same time;
and epitaxially growing the first semiconductor material layer on the semiconductor substrate on two sides of the NMOS gate structure and the stress layer on two sides of the PMOS gate structure.
2. The method of claim 1, wherein the stress layer is a SiGe layer, the first semiconductor material layer is a Si layer, and the second semiconductor material layer is Si.
3. The method of claim 2, further comprising the step of further processing the first layer of semiconductor material to form NiSi after forming the first layer of semiconductor material.
4. The method of claim 1, wherein the first layer of semiconductor material has a height greater than a height of the semiconductor substrate to form a raised first layer of semiconductor material.
5. The method of claim 1, wherein the surface of the semiconductor substrate is pre-cleaned with HCl gas to remove the amorphous material.
6. The method of claim 1, wherein the first layer of semiconductor material is epitaxially grown at a temperature of 500-800 ℃ and a pressure of 1-100 torr.
7. The method of claim 1, wherein the reactant gas for epitaxially growing the first layer of semiconductor material is SiH4Or SiH2Cl2、HCl、B2H6Mixed gas of SiH4Or SiH2Cl2、B2H6The gas flow rate of HCl is 1sccm-1000 sccm.
8. The method of claim 7, wherein H is selected2As a reaction carrier gas, the H2The gas flow rate of (a) is 0.1slm to 50 slm.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201410217871.9A CN105097694B (en) | 2014-05-21 | 2014-05-21 | Preparation method of semiconductor device |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201410217871.9A CN105097694B (en) | 2014-05-21 | 2014-05-21 | Preparation method of semiconductor device |
Publications (2)
Publication Number | Publication Date |
---|---|
CN105097694A CN105097694A (en) | 2015-11-25 |
CN105097694B true CN105097694B (en) | 2020-06-09 |
Family
ID=54577817
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201410217871.9A Active CN105097694B (en) | 2014-05-21 | 2014-05-21 | Preparation method of semiconductor device |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN105097694B (en) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN114121645A (en) * | 2021-11-03 | 2022-03-01 | 上海华力集成电路制造有限公司 | Epitaxial process method |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101150064A (en) * | 2006-09-21 | 2008-03-26 | 联华电子股份有限公司 | Method for removing spacer, metal oxide semiconductor transistor element and manufacturing method thereof |
CN102789986A (en) * | 2011-05-20 | 2012-11-21 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor apparatus and manufacturing method thereof |
CN103456693A (en) * | 2012-05-29 | 2013-12-18 | 新加坡商格罗方德半导体私人有限公司 | Middle in-situ doped sige junctions for pmos devices |
CN103646856A (en) * | 2013-11-08 | 2014-03-19 | 上海华力微电子有限公司 | Method for improving strain-layer boundary defects |
CN103745956A (en) * | 2014-01-29 | 2014-04-23 | 上海华力微电子有限公司 | Surface processing method for preparing embedding SiGe epitaxy |
Family Cites Families (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5824586A (en) * | 1996-10-23 | 1998-10-20 | Advanced Micro Devices, Inc. | Method of manufacturing a raised source/drain MOSFET |
US6403433B1 (en) * | 1999-09-16 | 2002-06-11 | Advanced Micro Devices, Inc. | Source/drain doping technique for ultra-thin-body SOI MOS transistors |
US7132338B2 (en) * | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
DE102004031743B4 (en) * | 2004-06-30 | 2006-10-05 | Advanced Micro Devices, Inc., Sunnyvale | A method of making an epitaxial layer for elevated drain and source regions by removing surface defects of the initial crystal surface |
CN100505184C (en) * | 2005-08-26 | 2009-06-24 | 中芯国际集成电路制造(上海)有限公司 | Selective ion implantation pre-decrystallizatoin method for metal silicide production |
US7491615B2 (en) * | 2005-09-23 | 2009-02-17 | United Microelectronics Corp. | Method of fabricating strained-silicon transistors and strained-silicon CMOS transistors |
CN101355054B (en) * | 2007-07-27 | 2010-07-28 | 联华电子股份有限公司 | Method for manufacturing complementary metal oxide semiconductor transistor |
CN102339859B (en) * | 2010-07-16 | 2013-03-20 | 中国科学院微电子研究所 | MOS transistor and forming method thereof |
US8435848B2 (en) * | 2010-10-28 | 2013-05-07 | Texas Instruments Incorporated | PMOS SiGe-last integration process |
-
2014
- 2014-05-21 CN CN201410217871.9A patent/CN105097694B/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101150064A (en) * | 2006-09-21 | 2008-03-26 | 联华电子股份有限公司 | Method for removing spacer, metal oxide semiconductor transistor element and manufacturing method thereof |
CN102789986A (en) * | 2011-05-20 | 2012-11-21 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor apparatus and manufacturing method thereof |
CN103456693A (en) * | 2012-05-29 | 2013-12-18 | 新加坡商格罗方德半导体私人有限公司 | Middle in-situ doped sige junctions for pmos devices |
CN103646856A (en) * | 2013-11-08 | 2014-03-19 | 上海华力微电子有限公司 | Method for improving strain-layer boundary defects |
CN103745956A (en) * | 2014-01-29 | 2014-04-23 | 上海华力微电子有限公司 | Surface processing method for preparing embedding SiGe epitaxy |
Also Published As
Publication number | Publication date |
---|---|
CN105097694A (en) | 2015-11-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10483355B2 (en) | Forming non-line-of-sight source drain extension in an NMOS FINFET using n-doped selective epitaxial growth | |
US10361201B2 (en) | Semiconductor structure and device formed using selective epitaxial process | |
US12080761B2 (en) | Fully strained channel | |
KR100855977B1 (en) | Semiconductor device and manufacturing method | |
US20090179236A1 (en) | Recess Etch for Epitaxial SiGe | |
US20070256627A1 (en) | Method of ultra-shallow junction formation using si film alloyed with carbon | |
US20080017931A1 (en) | Metal-oxide-semiconductor transistor device, manufacturing method thereof, and method of improving drain current thereof | |
KR101810301B1 (en) | Semiconductor structure and manufacturing method thereof | |
JP2006512766A (en) | Method for forming a thick strained silicon layer and semiconductor structure incorporating a thick strained silicon layer | |
CN103794559A (en) | Semiconductor device and method for preparing same | |
CN103811420B (en) | A kind of preparation method of semiconductor device | |
CN105097694B (en) | Preparation method of semiconductor device | |
CN104637879A (en) | Method for preparing semiconductor device | |
CN106910715B (en) | A kind of semiconductor device and its manufacturing method | |
CN104183488A (en) | FinFET semiconductor device and manufacturing method thereof | |
CN106298779B (en) | Semiconductor device, manufacturing method thereof and electronic device | |
TWI821319B (en) | Integrated cmos source drain formation with advanced control | |
JP2007329211A (en) | Semiconductor device, and manufacturing method thereof | |
JP2008078519A (en) | Method for manufacturing semiconductor device | |
TWI692803B (en) | Method for modifying epitaxial growth shape | |
CN106960792B (en) | NMOS transistor and method of forming the same | |
CN103681258B (en) | A kind of forming method of source and drain double epitaxial layer | |
CN103531472B (en) | A kind of MOSFET device and preparation method thereof | |
CN104051263A (en) | A kind of preparation method of semiconductor device | |
CN110310924A (en) | A method of manufacturing a semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |