CN103018646A - High-temperature wafer level burn-in test scheduling method for SoC (system on a chip) chip - Google Patents
High-temperature wafer level burn-in test scheduling method for SoC (system on a chip) chip Download PDFInfo
- Publication number
- CN103018646A CN103018646A CN 201110282433 CN201110282433A CN103018646A CN 103018646 A CN103018646 A CN 103018646A CN 201110282433 CN201110282433 CN 201110282433 CN 201110282433 A CN201110282433 A CN 201110282433A CN 103018646 A CN103018646 A CN 103018646A
- Authority
- CN
- China
- Prior art keywords
- test
- temperature
- chip
- soc
- aging
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000012360 testing method Methods 0.000 title claims abstract description 171
- 238000000034 method Methods 0.000 title claims abstract description 29
- 230000032683 aging Effects 0.000 claims abstract description 35
- 239000013598 vector Substances 0.000 claims abstract description 22
- 230000002708 enhancing effect Effects 0.000 claims abstract 2
- 238000013461 design Methods 0.000 claims description 9
- 238000005457 optimization Methods 0.000 claims description 6
- 238000012856 packing Methods 0.000 claims description 4
- 230000007423 decrease Effects 0.000 claims 1
- 238000004904 shortening Methods 0.000 claims 1
- 230000005540 biological transmission Effects 0.000 abstract description 2
- 230000008569 process Effects 0.000 description 9
- 238000005516 engineering process Methods 0.000 description 7
- 238000004806 packaging method and process Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 230000003068 static effect Effects 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000000523 sample Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 230000035882 stress Effects 0.000 description 3
- 238000004364 calculation method Methods 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 238000010998 test method Methods 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 238000005265 energy consumption Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000012812 general test Methods 0.000 description 1
- 239000000463 material Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 230000009897 systematic effect Effects 0.000 description 1
- 230000008685 targeting Effects 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
Images
Landscapes
- Testing Of Individual Semiconductor Devices (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
- Tests Of Electronic Circuits (AREA)
Abstract
本发明公开了一种面向SoC芯片的晶圆级高温老化测试调度的方法,利用测试模式下芯片所产生的高热量对芯片进行加热,以电路节点功耗为导向的,通过选择不同温控能力的测试矢量,控制各测试矢量的施加时间,从而控制电路模块或SoC芯片的测试温度,并控制WLTBI测试持续时间;通过优化电路测试路径,安排测试数据在不同测试路径上的发送时序,从而增强测试并行性,减少测试时间。本发明可以根据测试要求,在同一测试架构下灵活配置测试方案,可达提高老化测试的精确性和减少测试成本的目的。
The invention discloses a wafer-level high-temperature aging test scheduling method for SoC chips, using the high heat generated by the chips in the test mode to heat the chips, and taking the power consumption of circuit nodes as the guide, by selecting different temperature control capabilities Test vectors, control the application time of each test vector, thereby controlling the test temperature of the circuit module or SoC chip, and control the WLTBI test duration; by optimizing the circuit test path, arrange the transmission timing of test data on different test paths, thereby enhancing Test parallelism and reduce test time. According to the test requirements, the present invention can flexibly configure test schemes under the same test framework, so as to improve the accuracy of the aging test and reduce the test cost.
Description
技术领域 technical field
本发明涉及集成电路技术领域,尤其涉及集成电路的晶圆级老化测试方法。The invention relates to the technical field of integrated circuits, in particular to a wafer-level aging test method for integrated circuits.
背景技术 Background technique
为了使芯片产品在交付用户之前渡过其失效率“浴盆曲线”的早期失效阶段,需要对芯片进行老化测试。WLTBI(Wafer Level Test during Burn In)技术在晶圆表面上同时进行芯片的故障覆盖测试(通常面向固定故障,Stuck-AtFaults)和老化测试,对于提高芯片生产良率、降低芯片成本具有明显作用,国际半导体技术发展路线(ITRS:International Technology Roadmap forSemiconductors)已将其列为当今芯片测试技术中的重要发展方之一。采用WLTBI技术后的芯片封装测试与传统芯片封装测试在流程上具有显著区别,测试环节前移可大大减少不必要的后续封装成本,及时反馈前段工艺过程中的系统性问题、简化获取多芯片封装MCP:Multi Chip Package)或系统芯片封装(SiP:System in Package)芯片所需的KGD(Known Good Die)过程,因此该技术近年来获得了快速发展和应用。In order to make chip products go through the early failure stage of the "bathtub curve" of its failure rate before delivery to users, it is necessary to perform aging tests on chips. WLTBI (Wafer Level Test during Burn In) technology simultaneously performs chip fault coverage test (usually for fixed faults, Stuck-AtFaults) and burn-in test on the wafer surface, which has a significant effect on improving chip production yield and reducing chip cost. The International Technology Roadmap for Semiconductors (ITRS: International Technology Roadmap for Semiconductors) has listed it as one of the important development parties in today's chip testing technology. The chip packaging test after adopting WLTBI technology is significantly different from the traditional chip packaging test in terms of process. Moving the test link forward can greatly reduce unnecessary subsequent packaging costs, timely feedback on systematic problems in the previous process, and simplify the acquisition of multi-chip packaging. MCP: Multi Chip Package) or the KGD (Known Good Die) process required by the system chip package (SiP: System in Package) chip, so this technology has gained rapid development and application in recent years.
WLTBI的实施需要用特定测试矢量激励芯片完成一系列状态转换,可通过多种方式进行:(1)使用特定金属层作为测试通路,完成测试任务后在后续工序中将该层金属去除。该方法被称为金属牺牲层法(sacrificial metal method),需要额外的工序支持,只有Intel等IDM(Integrated Design and Manufacture)公司才能采用。(2)直接使用探针接触被测芯片的pad,并施加测试激励。其实施需成倍增加普通探针台(Prober)设备中的探针数量,并加装温度控制系统方可实现。(3)基于BIST(Built-In-Self Test)的方法,在芯片设计时内嵌BIST电路和DfT(Design for Test)结构,用于支持故障覆盖和老化测试功能。纯BIST方法的芯片实现代价高,灵活性不佳,标准化程度低。The implementation of WLTBI needs to use a specific test vector to stimulate the chip to complete a series of state transitions, which can be done in a variety of ways: (1) Use a specific metal layer as a test path, and remove this layer of metal in the subsequent process after the test task is completed. This method is called the sacrificial metal method (sacrificial metal method), which requires additional process support, and can only be adopted by IDM (Integrated Design and Manufacturing) companies such as Intel. (2) Directly use the probe to touch the pad of the chip under test, and apply test excitation. Its implementation requires doubling the number of probes in a common probe station (Prober) device and installing a temperature control system. (3) Based on the BIST (Built-In-Self Test) method, the BIST circuit and DfT (Design for Test) structure are embedded in the chip design to support fault coverage and burn-in test functions. The chip implementation of the pure BIST method has high cost, poor flexibility and low degree of standardization.
一般的温度老化测试存在一个潜在的假设,即测试过程中,被测电路(CUT:Circuit Under Test)所有面积上处处温度相同。因此实施老化测试时主要手段为控制CUT的环境温度,通常依靠老化炉实现。然而,在芯片实际工作时,片上各功能模块并非同时做同等的动作,芯片内不同模块之间的温度分布并不均匀,甚至在同一个电路模块内的各电路节点上温度也不相同,因此实际情况与上述假设有所偏差。芯片的高温老化故障通常是由于电路中局部热量累积过快,温度急速上升而导致“热点”的产生。也就是说,芯片工作时的片内局部温度才是芯片高温失效的直接因素。因此对高温老化测试而言,使电路中产生“热点”比模拟环境温度更有效。There is an underlying assumption in the general temperature aging test, that is, during the test, the temperature of all areas of the circuit under test (CUT: Circuit Under Test) is the same everywhere. Therefore, the main means of implementing the aging test is to control the ambient temperature of the CUT, which is usually achieved by means of an aging furnace. However, when the chip is actually working, the functional modules on the chip do not perform the same actions at the same time, the temperature distribution between different modules in the chip is not uniform, and even the temperature of each circuit node in the same circuit module is not the same, so The actual situation deviates from the above assumptions. The high-temperature aging failure of the chip is usually due to the local heat accumulation in the circuit too fast, and the temperature rises rapidly, resulting in the generation of "hot spots". That is to say, the local temperature inside the chip when the chip is working is the direct factor for the high temperature failure of the chip. Therefore, for high-temperature aging tests, it is more effective to create "hot spots" in the circuit than to simulate the ambient temperature.
基于硅知识产权(Silicon IP:Silicon Intellectual Property)复用的系统芯片(SoC:System on Chip),其所使用的IP可能来自于不同IP供应商,各IP硬核所能够承受的实际工作温度应力范围可能并不相同。对SoC芯片施加环境温度时,可能导致某些IP可靠性试验不充分,而有些IP则承受着过量应力的情况。因此使SoC芯片中的不同电路模块工作于不同的测试温度,不但可使老化测试更合乎芯片实际工作情形,还有利于从温度应力试验角度进行芯片产品品质分级(Binning)。Based on the multiplexed system chip (SoC: System on Chip) of silicon intellectual property (Silicon IP: Silicon Intellectual Property), the IP used may come from different IP suppliers, and the actual working temperature stress that each IP hard core can withstand Ranges may vary. When the ambient temperature is applied to the SoC chip, some IP reliability tests may be insufficient, and some IP may be subjected to excessive stress. Therefore, making different circuit modules in the SoC chip work at different test temperatures not only makes the burn-in test more in line with the actual working conditions of the chip, but also facilitates chip product quality classification (Binning) from the perspective of temperature stress test.
发明内容 Contents of the invention
本发明针对晶圆或晶圆级封装,提出一种面向SoC芯片的晶圆级高温老化测试调度的方法,可达提高老化测试的精确性和减少测试成本的目的。Aiming at wafer or wafer-level packaging, the present invention proposes a method for dispatching wafer-level high-temperature aging tests for SoC chips, which can achieve the purpose of improving the accuracy of aging tests and reducing test costs.
为解决上述技术问题,本发明利用测试模式下芯片所产生的高热量对芯片进行加热,以电路节点功耗为导向的,设计了靶向老化能力的测试调度方法。In order to solve the above-mentioned technical problems, the present invention utilizes the high heat generated by the chip in the test mode to heat the chip, and designs a test scheduling method targeting aging capability based on the power consumption of circuit nodes.
该方法考虑资源冲突、测试程序中的优先条件设置、测试功耗限制等约束条件下,以测试期间的测试温度可控性最大化以及测试时间最小化为优化目标,利用三维装箱模型建模(模型如图1所示),对该测试矢量集进行合理测试调度。通过控制各测试矢量的施加时间,从而控制电路模块或SoC芯片的测试温度,并控制WLTBI测持续时间;通过安排测试数据在不同测试路径上的发送时序,从而增强测试并行性,减少测试时间,其工作原理见图2。In this method, under the constraints of resource conflict, priority setting in the test program, and test power consumption limitation, the optimization goal is to maximize the controllability of the test temperature and minimize the test time during the test, and use a three-dimensional box-packing model to model (The model is shown in Figure 1), and a reasonable test scheduling is performed on the test vector set. By controlling the application time of each test vector, the test temperature of the circuit module or SoC chip is controlled, and the WLTBI test duration is controlled; by arranging the transmission timing of test data on different test paths, the test parallelism is enhanced and the test time is reduced. Its working principle is shown in Figure 2.
基于上述测试方法,本发明还提供一种SoC测试结构的Wrapper/TAM(TestAccess Mechanism)联合优化设计方法,测试结构见图3。首先进行IP核的测试壳优化,通过平衡Wrapper内扫描链长度以及使扫描测试功耗均匀化的方式,控制单个IP的测试温度,缩短最长扫描链长度,减少单个IP核的测试时间。在此基础上,进行TAM结构优化,通过算法迭代逼近测试总线的最优划分,从而控制整个SoC的测试温度并且缩短SOC测试时间。Based on the above test method, the present invention also provides a Wrapper/TAM (Test Access Mechanism) joint optimization design method of a SoC test structure, the test structure is shown in Figure 3. First, optimize the test shell of the IP core. By balancing the length of the scan chain in the Wrapper and uniforming the power consumption of the scan test, the test temperature of a single IP is controlled, the length of the longest scan chain is shortened, and the test time of a single IP core is reduced. On this basis, the TAM structure is optimized, and the optimal division of the test bus is approached through algorithm iteration, so as to control the test temperature of the entire SoC and shorten the test time of the SOC.
整个设计方案可模拟芯片中的“热点”,对SoC芯片中的各电路模块施加不同测试温度,提高老化测试的精确性,最大程度降低不断变化的测试功耗对老化测试温度及时间预期计算的不良影响,从而得到更加准确的老化预期时间,并且减少测试时间,减少晶圆级测试的成本。The whole design scheme can simulate the "hot spots" in the chip, apply different test temperatures to each circuit module in the SoC chip, improve the accuracy of the aging test, and minimize the impact of changing test power consumption on the expected calculation of the aging test temperature and time adverse effects, thereby obtaining a more accurate aging expected time, reducing test time, and reducing the cost of wafer-level testing.
本发明的有益效果在于:传统基于老化炉的老化测试技术采用电热转换方式,老化测试设备的额定功率常在数十KW范围,能耗较高,能量转换效率较低。本发明思路异于一般依赖老化炉的测试方法,通过测试调度,选择合适的测试矢量在合适的时间施加给合适的电路模块,以满足SoC老化测试在温度与时间方面的要求,有效利用本为缺点的高测试功耗,可提高老化测试的精确性,节省测试成本,降低WLTBI对测试设备的要求,符合绿色工业的精神。The beneficial effect of the present invention is that: the traditional aging test technology based on the aging furnace adopts the electrothermal conversion method, the rated power of the aging test equipment is usually in the range of tens of KW, the energy consumption is high, and the energy conversion efficiency is low. The idea of the present invention is different from the general test method relying on the aging furnace. Through test scheduling, the appropriate test vector is selected and applied to the appropriate circuit module at the appropriate time to meet the requirements of SoC aging test in terms of temperature and time. The disadvantage of high test power consumption can improve the accuracy of aging test, save test cost, and reduce WLTBI's requirements for test equipment, which is in line with the spirit of green industry.
附图说明 Description of drawings
图1为测试调度三维装箱模型;Figure 1 is a three-dimensional packing model for test scheduling;
图2老化测试调度工作原理示意图;Figure 2 Schematic diagram of the working principle of aging test scheduling;
图3为SoC测试结构示意图;Figure 3 is a schematic diagram of the SoC test structure;
图4为TAM总线划分方案示意图;FIG. 4 is a schematic diagram of a TAM bus division scheme;
图5为测试调度方案示意图。Fig. 5 is a schematic diagram of a test scheduling scheme.
具体实施方式 Detailed ways
本发明的需要按照以下4个步骤实施:The needs of the present invention are implemented according to the following 4 steps:
第一步:first step:
获取工艺文件、晶圆材料参数、晶圆布局及尺寸、老化测试要求等信息。Obtain information such as process documents, wafer material parameters, wafer layout and dimensions, burn-in test requirements, etc.
第二步:Step two:
计算不同测试输入矢量对芯片的功耗及温控的作用,获取不同温升条件所对应的测试矢量集。Calculate the effect of different test input vectors on the power consumption and temperature control of the chip, and obtain the test vector sets corresponding to different temperature rise conditions.
电路功耗P主要由动态功耗、静态功耗组成。Circuit power consumption P is mainly composed of dynamic power consumption and static power consumption.
电路动态功耗由公式(1)决定:The dynamic power consumption of the circuit is determined by formula (1):
Pdyn=1/2·C·V2·N·f(1)P dyn = 1/2·C·V 2 ·N·f(1)
其中,V为电路工作电压,C为电路负载电容,f为工作频率,N为电路电平跳变数量。电路制作完成后,电路负载电容C基本不可变,电路工作电压V、电路工作频率f等参数可在一定范围内调整,一段时间内电路电平跳变数量可由电路输入的测试矢量进行较大范围的控制。Among them, V is the operating voltage of the circuit, C is the load capacitance of the circuit, f is the operating frequency, and N is the number of circuit level jumps. After the circuit is completed, the circuit load capacitance C is basically unchanged, and parameters such as the circuit operating voltage V and the circuit operating frequency f can be adjusted within a certain range. The number of circuit level jumps within a period of time can be controlled by the test vector input by the circuit. control.
对于90nm以上工艺制造的电路,动态功耗在总功耗中所占比例很大,静态功耗可忽略不计。在90nm及以下工艺条件下,需根据构成测试扫描链所使用的门电路类型,查工艺文件获得静态功耗大小。For circuits manufactured with a process above 90nm, the dynamic power consumption accounts for a large proportion of the total power consumption, and the static power consumption is negligible. Under the process conditions of 90nm and below, it is necessary to check the process file to obtain the static power consumption according to the gate circuit type used to form the test scan chain.
根据动态功耗和静态功耗,求和得到电路在测试矢量输入下的总功耗。According to the dynamic power consumption and the static power consumption, the total power consumption of the circuit under the test vector input is obtained by summing.
电路在测试模式下的工作温度由公式(2)决定:The operating temperature of the circuit in test mode is determined by Equation (2):
Tj=Ta+P×Rja(2)Tj=Ta+P×Rja(2)
其中Ta是环境温度,P是电路功耗,Rja是热阻。Where Ta is the ambient temperature, P is the power dissipation of the circuit, and Rja is the thermal resistance.
第三步:third step:
对特定老化温度测试要求,优化设计SoC测试结构,制定老化测试调度方案(测试矢量序列、工作模式、持续时间等)。For specific aging temperature test requirements, optimize the design of the SoC test structure, and formulate the aging test scheduling plan (test vector sequence, working mode, duration, etc.).
对于SoC电路,本发明中测试调度方案设计说明如下:For the SoC circuit, the test scheduling scheme design description in the present invention is as follows:
假设SoC芯片具有N个电路模块,要求第n(1<=n<=N)个模块在温度Tn下连续测试时间tn。设与第n个模块邻近的有M个模块。邻近模块之间会产生热扩散,设模块n对模块m的热扩散对模块m所造成的温升为Tmn。IP核n的测试矢量集记为Vectorn,其中包含K个矢量,第i个记为Vectorni(1<=i<=K)。由于功耗计算方法已知,可计算Vectorni所对应的功耗。将公式(1)采用周期精确的功耗方式展开,并转换为周期温度值,周期j内模块n的温度为:Assuming that the SoC chip has N circuit modules, it is required that the nth (1<=n<=N) module be continuously tested at a temperature Tn for a time tn. Suppose there are M modules adjacent to the nth module. Thermal diffusion will occur between adjacent modules, and the temperature rise caused by the thermal diffusion of module n to module m to module m is Tmn. The test vector set of IP core n is recorded as Vectorn, which contains K vectors, and the i-th one is recorded as Vectorni (1<=i<=K). Since the power consumption calculation method is known, the power consumption corresponding to Vectori can be calculated. Equation (1) is expanded in a cycle-accurate power consumption method and converted into a cycle temperature value. The temperature of module n in cycle j is:
其中,第一项为模块n在上一周期时的温度。第二项为本周期施加测试矢量后的温升,θ是热阻,Pnj是电路周期j内模块n的功耗。第三项为邻近的模块对模块n的热扩散所造成的温度贡献。第四项为模块n对邻近模块的热扩散所造成的温度损失。由于已知每个矢量所产生的功耗,因此每个周期的温升可计算。Among them, the first item is the temperature of module n in the last cycle. The second item is the temperature rise after applying the test vector in this cycle, θ is the thermal resistance, and P nj is the power consumption of module n in the circuit cycle j. The third term is the temperature contribution of adjacent modules to the thermal diffusion of module n. The fourth term is the temperature loss caused by the thermal diffusion of module n to adjacent modules. Since the power dissipation generated by each vector is known, the temperature rise per cycle can be calculated.
分情况进行问题定义如下:The definition of the problem according to the situation is as follows:
SoC单模块老化测试:被测模块n在规定温度Tn下连续测试规定时间tn。该调度问题可形式定义如下:假设模块n为被测模块。为在每个周期内,寻找Vectorni属于Vectorn,使其产生的温度满足:min|Tnj-Tn|。初始时,所有模块的温度为室温。当|Tnj-Tn|<δ时开始计时,使之持续时间tn。δ为一个很小的值。SoC single module aging test: The tested module n is continuously tested at the specified temperature Tn for the specified time tn. The scheduling problem can be formally defined as follows: Suppose module n is the module under test. In order to find that Vectorni belongs to Vectorn in each cycle, the temperature generated by it satisfies: min|Tnj-Tn|. Initially, the temperature of all modules is room temperature. When |Tnj-Tn|<δ, start counting and make it last for tn. δ is a very small value.
多模块并行老化测试:所有被测模块在其规定温度下连续测试规定时间,该调度问题可形式化定义为:在每个周期内,寻找测试矢量属于∪Vectorn,1<n<N,使各IP的当期温度满足:min|Tnj-Tn|,1<n<N。对每个IP核,当|Tnj-Tn|<δ时开始计时,使之持续时间tn。Multi-module parallel aging test: All modules under test are tested continuously for a specified time at a specified temperature. The scheduling problem can be formally defined as: In each cycle, find the test vector belonging to ∪Vectorn, 1<n<N, so that each The current temperature of IP satisfies: min|Tnj-Tn|, 1<n<N. For each IP core, start timing when |Tnj-Tn|<δ, so that it lasts for tn.
第四步:the fourth step:
按照老化测试调度方案施加动态测试矢量。Dynamic test vectors are imposed according to the burn-in test schedule.
老化测试调度实施举例如下:The implementation example of aging test scheduling is as follows:
定义:TSoC表示SoC在整个时钟周期内的测试时间,Pmean表示测试时钟周期的平均功耗开销,Pmax表示SoC的最大测试功耗峰值,TSi表示第i组被同时测试的芯核集。为了能够控制测试温度,需要得到平滑的测试功耗变化曲线,我们定义测试功耗变化曲线的平滑度:Pi和Pi+1分别表示在第i和第i+1个时钟周期的测试功耗开销。Definition: T SoC represents the test time of the SoC in the entire clock cycle, P mean represents the average power consumption overhead of the test clock cycle, P max represents the maximum test power consumption peak value of the SoC, TS i represents the i-th group of cores tested at the same time set. In order to be able to control the test temperature, it is necessary to obtain a smooth test power consumption curve, we define the smoothness of the test power consumption curve: P i and P i+1 represent the test power consumption overhead of the ith clock cycle and the i+1th clock cycle respectively.
为是能够控制整个SoC的测试温度,我们通过控制测试功耗变化差值来控制测试温度,因此定义目标函数:SoC的测试功耗变化差值最小化。In order to be able to control the test temperature of the entire SoC, we control the test temperature by controlling the test power variation difference, so the objective function is defined: SoC test power variation difference minimize.
老化测试调度问题描述:已知在SoC上有N个IP核,B组TAM宽度总和为W,求能同时被测试的芯核集选择方案、TAM测试总线分割、各个IP核在各总线上的分配方案及测试顺序,使得在不超过最大功耗峰值Pmax约束条件下,整个SoC的测试功耗变化差值最小。Burn-in test scheduling problem description: It is known that there are N IP cores on the SoC, and the sum of the TAM widths of Group B is W. Find the core set selection scheme that can be tested at the same time, the division of the TAM test bus, and the distribution of each IP core on each bus. The allocation scheme and test sequence make the test power consumption variation difference of the entire SoC the smallest under the constraint condition of not exceeding the maximum power consumption peak value P max .
考虑TAM线宽为32的D695ITC’02SoC benchmark电路,TAM总线分为3组(如图4所示),测试调度优化方案(如图5所示),从图5可见,同时测试芯核集选择方案为:TS1={3,1,4},TS2={7,2,6},Ts3={5,8},TS4={9},TS5={10}。Considering the D695ITC'02SoC benchmark circuit with a TAM line width of 32, the TAM bus is divided into 3 groups (as shown in Figure 4), and the test scheduling optimization scheme (as shown in Figure 5), as can be seen from Figure 5, while testing the core set selection The scheme is: TS 1 ={3,1,4}, TS 2 ={7,2,6}, Ts 3 ={5,8}, TS 4 ={9}, TS 5 ={10}.
综上,本发明所提供的老化测试调度方法没有增加任何新的硬件开销,仅通过优化配置电路内部测试结构,然后通过智能算法调度求解出优化合理的老化测试调度方案,使用电路原有结构就可以有效地提高老化测试效率,测试时间大幅度缩短,并且提高老化测试的精确性。To sum up, the aging test scheduling method provided by the present invention does not add any new hardware overhead, only by optimizing the internal test structure of the circuit, and then solving an optimized and reasonable aging test scheduling scheme through intelligent algorithm scheduling, using the original structure of the circuit The aging test efficiency can be effectively improved, the test time is greatly shortened, and the accuracy of the aging test is improved.
上述实施例只是本发明的举例,尽管为说明目的公开了本发明的最佳实施例和附图,但是本领域的技术人员可以理解:在不脱离本发明及所附的权利要求的精神和范围内,各种替换、变化和修改都是可能的。因此,本发明不应局限于最佳实施例和附图所公开的内容。The foregoing embodiments are only examples of the present invention. Although the best embodiment of the present invention and accompanying drawings are disclosed for illustrative purposes, those skilled in the art can understand that: without departing from the spirit and scope of the present invention and the appended claims Inside, various substitutions, changes and modifications are possible. Therefore, the present invention should not be limited to what is disclosed in the preferred embodiments and drawings.
Claims (4)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN 201110282433 CN103018646A (en) | 2011-09-21 | 2011-09-21 | High-temperature wafer level burn-in test scheduling method for SoC (system on a chip) chip |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN 201110282433 CN103018646A (en) | 2011-09-21 | 2011-09-21 | High-temperature wafer level burn-in test scheduling method for SoC (system on a chip) chip |
Publications (1)
Publication Number | Publication Date |
---|---|
CN103018646A true CN103018646A (en) | 2013-04-03 |
Family
ID=47967473
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN 201110282433 Pending CN103018646A (en) | 2011-09-21 | 2011-09-21 | High-temperature wafer level burn-in test scheduling method for SoC (system on a chip) chip |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN103018646A (en) |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN106680689A (en) * | 2016-10-12 | 2017-05-17 | 北京时代民芯科技有限公司 | Signal generating system for aging tests |
CN107831391A (en) * | 2017-11-28 | 2018-03-23 | 英特尔产品(成都)有限公司 | A kind of method, apparatus and equipment for burn-in test |
CN108804719A (en) * | 2017-04-26 | 2018-11-13 | 华为技术有限公司 | Digital circuit aging emulation mode and device |
CN109712136A (en) * | 2018-12-29 | 2019-05-03 | 上海华力微电子有限公司 | A kind of method and device for analyzing semiconductor crystal wafer |
CN110850275A (en) * | 2019-11-26 | 2020-02-28 | 海光信息技术有限公司 | Burn-in test method, equipment and readable storage medium |
CN112444718A (en) * | 2019-08-29 | 2021-03-05 | 上海原动力通信科技有限公司 | Chip aging monitoring method, device and system |
CN113075529A (en) * | 2021-03-23 | 2021-07-06 | 北京灵汐科技有限公司 | Chip aging test method and device, storage medium and test equipment |
CN113777474A (en) * | 2021-11-10 | 2021-12-10 | 北京京瀚禹电子工程技术有限公司 | Test method and system for temperature-resistant aging test of integrated circuit |
CN115327252A (en) * | 2022-06-27 | 2022-11-11 | 上海轩田工业设备有限公司 | High-low temperature microwave performance test scheduling optimization method and system |
CN116088449A (en) * | 2023-01-18 | 2023-05-09 | 北京新享科技有限公司 | A Scheduling System for Testing Tasks |
-
2011
- 2011-09-21 CN CN 201110282433 patent/CN103018646A/en active Pending
Cited By (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN106680689A (en) * | 2016-10-12 | 2017-05-17 | 北京时代民芯科技有限公司 | Signal generating system for aging tests |
CN108804719A (en) * | 2017-04-26 | 2018-11-13 | 华为技术有限公司 | Digital circuit aging emulation mode and device |
CN108804719B (en) * | 2017-04-26 | 2021-04-09 | 华为技术有限公司 | Digital circuit aging simulation method and device |
CN107831391A (en) * | 2017-11-28 | 2018-03-23 | 英特尔产品(成都)有限公司 | A kind of method, apparatus and equipment for burn-in test |
CN107831391B (en) * | 2017-11-28 | 2019-06-07 | 英特尔产品(成都)有限公司 | A kind of method, apparatus and equipment for burn-in test |
CN109712136B (en) * | 2018-12-29 | 2023-07-28 | 上海华力微电子有限公司 | Method and device for analyzing semiconductor wafer |
CN109712136A (en) * | 2018-12-29 | 2019-05-03 | 上海华力微电子有限公司 | A kind of method and device for analyzing semiconductor crystal wafer |
CN112444718A (en) * | 2019-08-29 | 2021-03-05 | 上海原动力通信科技有限公司 | Chip aging monitoring method, device and system |
CN110850275A (en) * | 2019-11-26 | 2020-02-28 | 海光信息技术有限公司 | Burn-in test method, equipment and readable storage medium |
CN113075529A (en) * | 2021-03-23 | 2021-07-06 | 北京灵汐科技有限公司 | Chip aging test method and device, storage medium and test equipment |
CN113777474B (en) * | 2021-11-10 | 2022-03-15 | 北京京瀚禹电子工程技术有限公司 | Test method and system for temperature-resistant aging test of integrated circuit |
CN113777474A (en) * | 2021-11-10 | 2021-12-10 | 北京京瀚禹电子工程技术有限公司 | Test method and system for temperature-resistant aging test of integrated circuit |
CN115327252A (en) * | 2022-06-27 | 2022-11-11 | 上海轩田工业设备有限公司 | High-low temperature microwave performance test scheduling optimization method and system |
CN115327252B (en) * | 2022-06-27 | 2023-08-08 | 上海轩田工业设备有限公司 | High-low temperature microwave performance test scheduling optimization method and system |
CN116088449A (en) * | 2023-01-18 | 2023-05-09 | 北京新享科技有限公司 | A Scheduling System for Testing Tasks |
CN116088449B (en) * | 2023-01-18 | 2025-01-24 | 上海合见工业软件集团有限公司 | A Scheduling System for Test Tasks |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN103018646A (en) | High-temperature wafer level burn-in test scheduling method for SoC (system on a chip) chip | |
Yao et al. | Power and thermal constrained test scheduling under deep submicron technologies | |
He et al. | Thermal-aware SoC test scheduling with test set partitioning and interleaving | |
Xu et al. | Surrogate thermal model for power electronic modules using artificial neural network | |
US8542030B2 (en) | Three-dimensional (3D) stacked integrated circuit testing | |
Liu et al. | Thermal-aware testing of network-on-chip using multiple-frequency clocking | |
Cai et al. | Impact of thermal interface material on power cycling lifetime of IGBT module | |
Wen et al. | A capture-safe test generation scheme for at-speed scan testing | |
Abdel-Hafez et al. | Comprehensive power-aware ATPG methodology for complex low-power designs | |
Aghaee et al. | An efficient temperature-gradient based burn-in technique for 3D stacked ICs | |
Liu et al. | Test scheduling with thermal optimization for network-on-chip systems using variable-rate on-chip clocking | |
Nicolici et al. | Embedded tutorial on low power test | |
Bosio et al. | Why and how controlling power consumption during test: a survey | |
Millican et al. | A test partitioning technique for scheduling tests for thermally constrained 3D integrated circuits | |
Devanathan et al. | PMScan: A power-managed scan for simultaneous reduction of dynamic and leakage power during scan test | |
He et al. | Multi-temperature testing for core-based system-on-chip | |
Chickermane et al. | A power-aware test methodology for multi-supply multi-voltage designs | |
Visweswaraiah et al. | Test mode power computation and IR drop analysis of application specific integrated circuits implementing face detection algorithms | |
Ko et al. | Automated scan chain division for reducing shift and capture power during broadside at-speed test | |
He et al. | Simulation-driven thermal-safe test time minimization for System-on-Chip | |
CN100367041C (en) | System-on-Chip Test Method Avoids Hot Spots and Evenly Distributes Heat | |
Tafaj et al. | Improving thermal-safe test scheduling for core-based systems-on-chip using shift frequency scaling | |
Au et al. | Power-Aware Test Scheduling for Memory BIST | |
Bahukudumbi et al. | Power management using test-pattern ordering for wafer-level test during burn-in | |
Hu et al. | A Fast Aging-aware Static Timing Analysis Prediction Frame of Digital Integrated Circuits |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |
Application publication date: 20130403 |