[go: up one dir, main page]

Skip to content
View akashlevy's full-sized avatar
😄
😄

Highlights

  • Pro

Block or report akashlevy

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

Rust library to parse SystemVerilog / Verilog filelists, used in https://github.com/dalance/svlint

Rust 2 1 Updated May 16, 2022

Verilog evaluation benchmark for large language model

SystemVerilog 149 17 Updated Aug 21, 2024

SCR1 is a high-quality open-source RISC-V MCU core in Verilog

SystemVerilog 822 267 Updated May 15, 2024

Control and status register code generator toolchain

Python 82 18 Updated Nov 8, 2023

Veryl: A Modern Hardware Description Language

Rust 468 22 Updated Aug 25, 2024

Verilog package manager written in Rust

Rust 64 4 Updated Aug 26, 2024

IP-XACT XML binding library

VHDL 13 4 Updated Jun 23, 2016

CUDD: CU Decision Diagram package - unofficial git mirror of https://web.archive.org/web/20180127051756/http://vlsi.colorado.edu/~fabio/CUDD/html/index.html (intro: https://web.archive.org/web/2015…

C 113 64 Updated Jun 16, 2023

fakeram generator for use by researchers who do not have access to commercial ram generators

Python 30 13 Updated Jan 13, 2023

Perform historical snapshots without database locks and read change data capture logs from databases. Artie Reader is compatible with Debezium and is written in Go.

Go 25 2 Updated Aug 23, 2024

Database replication platform that leverages change data capture. Stream production data from databases to your data warehouse (Snowflake, BigQuery, Redshift) in real-time.

Go 580 26 Updated Aug 26, 2024

A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.

C++ 87 33 Updated Mar 6, 2022

EDA-centric utility for linting and analyzing Tcl code.

Python 27 1 Updated Aug 26, 2024

An open-source Ternary Content Addressable Memory (TCAM) compiler.

Python 18 10 Updated Jul 19, 2024

AMBA AXI VIP

SystemVerilog 348 101 Updated Jun 28, 2024

Official home of the TclX extension for Tcl

C 28 16 Updated Jan 25, 2024

Yosys plugin for logic locking and supply-chain security

C++ 20 2 Updated Jul 16, 2024
C++ 34 15 Updated Aug 26, 2024
C++ 293 28 Updated Aug 14, 2024
Jupyter Notebook 47 7 Updated Oct 21, 2023

Nuitka is a Python compiler written in Python. It's fully compatible with Python 2.6, 2.7, 3.4-3.12. You feed it your Python app, it does a lot of clever things, and spits out an executable or exte…

Python 11,641 634 Updated Aug 25, 2024

An elegant Python implementation to convert Liberty library format into JSON format

Python 3 Updated Feb 27, 2023

Delay Calculation ToolKit

C 26 17 Updated Aug 7, 2022

Ghidra is a software reverse engineering (SRE) framework

Java 50,169 5,743 Updated Aug 23, 2024

slang-based frontend for Yosys

C++ 20 4 Updated Aug 26, 2024

Mirror of Synopsys's Liberty parser library

C 17 12 Updated Jul 6, 2018

An integrated cache and memory access time, cycle time, area, leakage, and dynamic power model

C++ 380 131 Updated Jun 25, 2024

SystemVerilog compiler and language services

C++ 576 126 Updated Aug 21, 2024
Next