[go: up one dir, main page]

Skip to content

Commit

Permalink
Minor bugfixes
Browse files Browse the repository at this point in the history
  • Loading branch information
jvanstraten committed Sep 2, 2019
1 parent 70086a9 commit 12b0acc
Show file tree
Hide file tree
Showing 2 changed files with 4 additions and 4 deletions.
4 changes: 2 additions & 2 deletions vhdmmio/config/behavior/request.py
Original file line number Diff line number Diff line change
Expand Up @@ -27,7 +27,7 @@
ctrl_reset=False,
ctrl_increment=False,
ctrl_decrement=False,
ctrl_bit_set=True,
ctrl_bit_set=False,
ctrl_bit_clear=False,
ctrl_bit_toggle=False,
reset=0)
Expand Down Expand Up @@ -57,7 +57,7 @@ class Strobe(BasePrimitive):
ctrl_reset=False,
ctrl_increment=False,
ctrl_decrement=False,
ctrl_bit_set=True,
ctrl_bit_set=False,
ctrl_bit_clear=False,
ctrl_bit_toggle=False,
reset=0)
Expand Down
4 changes: 2 additions & 2 deletions vhdmmio/vhdl/__init__.py
Original file line number Diff line number Diff line change
Expand Up @@ -523,13 +523,13 @@ def generate(self, output_dir, annotate=False):
pjoin(_MODULE_DIR, 'entity.template.vhd'),
name + '.gen.vhd',
comment='-- ', annotate=annotate)
print('Wrote %s.vhd' % name)
print('Wrote %s.gen.vhd' % name)

self._tple.apply_file_to_file(
pjoin(_MODULE_DIR, 'package.template.vhd'),
name + '_pkg.gen.vhd',
comment='-- ', annotate=annotate)
print('Wrote %s_pkg.vhd' % name)
print('Wrote %s_pkg.gen.vhd' % name)

def gather_ports(self):
"""Yields all the inputs/outputs/generics excluding `clk` and `reset`
Expand Down

0 comments on commit 12b0acc

Please sign in to comment.