[go: up one dir, main page]

skip to main content
10.5555/243846.243852acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article
Free access

Integrating a misprediction recovery cache (MRC) into a superscalar pipeline

Published: 02 December 1996 Publication History

Abstract

In modern processors, deep pipelines couple with superscalar techniques to allow each pipe stage to process multiple instructions. When such a pipe must be pushed and refilled, as when predicted program flow beyond a branch is subsequently recognized as wrong, the temporary performance loss is significant. While modern branch target buffer (BTB) technology makes this flush/refill penalty fairly rare, the penalty that accrues from the remaining branch mispredictions is a serious impediment to even higher processor performance. Advanced mechanisms that can reduce this residual misprediction penalty can be of enormous value in future microprocessor designs. One promising new mechanism, the Misprediction Recovery Cache (MRC) is proposed previously. In this paper, we focus especially on MRC integration into existing pipelines.

References

[1]
A.K. Nanda, J.O. Bondi, and S. Dutta, "Misprediction Recovery Cache (MRC): Concept, Analysis, and Design" Tl-Internal Technical Paper, Jun. 1996, pp. 1-30.
[2]
J.O. Bondi, S. Dutta, and A.K. Nanda, "Pipelined Microprocessor with Branch Misprediction Cache Circuits, Systems, and Methods," patent application TI-22458, Jun. 1996.
[3]
A.K. Nanda, S. Dutta, and J.O. Bondi, "Misprediction Recovery Cache (MRC): A New Mechanism for Minimizing Branch Misprediction Penalty," submitted to HPCA 3.
[4]
J.E. Smith, "A Study of Branch Prediction Strategies," Proc. ISCA, May 1981, pp. 135-148.
[5]
J. Lee and A.J. Smith, "Branch Prediction Strategies and Branch Target Buffer Design," Computer, Jan. 1984,pp.6-22.
[6]
T-Y. Yeh and Y.N.Patt, "Two-Level Adaptive Training Branch Prediction," Proc. Micro-24, Nov. 1991, pp. 51-61.
[7]
S.T. Pan, K. So, and J.T. Rahmeh, "Improving the Accuracy of Dynamic Branch Prediction Using Branch Correlation," Proc. ASPLOS-V, Oct. 1992, pp. 76-84.
[8]
S. Dutta and M. Franklin, "Control Flow Prediction with Tree-Like Subgraphs for Superscalar Processors," Proc. Micro-28, Dec. 1995, pp. 258-263.
[9]
W-M. Hwu and Y.N. Patt, "HPSm, A High Performance Restricted Data Flow Architecture Having Minimal Functionality,'' Proc. ISCA, Tokyo, 1986, pp. 297-306.
[10]
S.W. Melvin, M.C. Shebanow, and Y.N. Patt, "Hardware Support for Large Atomic Units in Dynamically Scheduled Machines," Proc. Micro-21, San Diego, Dec. 1988, pp.60~66.
[11]
M. Smotherman and M. Franklin, "Improving CISC instruction Decoding Performance Using a Fill Unit," Proc. Micro-28, Dec. 1995, pp. 219-229.
[12]
A.K. Nanda et al, "Improving the Accuracy of .. Performance Modeling Tools," Tl-Internal Technical Paper, 1995.
[13]
G.S. Tyson, "The Effects of Predicated Execution on Branch Prediction," Micro-27, Nov. 1994, pp. 196-206.
[14]
S.A. Mahlke et al, "Characterizing the Impact of Predicated Execution on Branch Prediction," Proc. Micro-27, Nov. 1994, pp. 217-227.
[15]
S. Song, M. Denman, and J. Chang, "The PowerPC 604 RISC Microprocessor," IEEE Micro, Oct. 1994, pp. 8-17.
[16]
W.W. Hwu et al, "Compiler Technology for Future Microprocessors," Proc. of the IEEE, Dec. 1995, pp. 1625-1640.
[17]
S.J. Walsh and J.A. Board, "Pollution Control Caching," Proc. ICCD '95, Oct. 1995, pp. 300-306.

Cited By

View all
  • (2004)Wrong Path EventsProceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2004.38(119-128)Online publication date: 4-Dec-2004
  • (2002)Dual path instruction processingProceedings of the 16th international conference on Supercomputing10.1145/514191.514223(220-229)Online publication date: 22-Jun-2002
  • (2001)Optimizations Enabled by a Decoupled Front-End ArchitectureIEEE Transactions on Computers10.1109/12.91927950:4(338-355)Online publication date: 1-Apr-2001
  • Show More Cited By

Index Terms

  1. Integrating a misprediction recovery cache (MRC) into a superscalar pipeline

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      MICRO 29: Proceedings of the 29th annual ACM/IEEE international symposium on Microarchitecture
      December 1996
      359 pages
      ISBN:0818676418

      Sponsors

      Publisher

      IEEE Computer Society

      United States

      Publication History

      Published: 02 December 1996

      Check for updates

      Author Tags

      1. branch target buffer technology
      2. deep pipelines
      3. microprocessor chips
      4. microprocessor designs
      5. misprediction recovery cache integration
      6. multiple instructions
      7. performance loss
      8. residual misprediction penalty
      9. superscalar pipeline

      Qualifiers

      • Article

      Conference

      MICRO96
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 484 of 2,242 submissions, 22%

      Upcoming Conference

      MICRO '24

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)39
      • Downloads (Last 6 weeks)10
      Reflects downloads up to 15 Oct 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2004)Wrong Path EventsProceedings of the 37th annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2004.38(119-128)Online publication date: 4-Dec-2004
      • (2002)Dual path instruction processingProceedings of the 16th international conference on Supercomputing10.1145/514191.514223(220-229)Online publication date: 22-Jun-2002
      • (2001)Optimizations Enabled by a Decoupled Front-End ArchitectureIEEE Transactions on Computers10.1109/12.91927950:4(338-355)Online publication date: 1-Apr-2001
      • (1999)A scalable front-end architecture for fast instruction deliveryACM SIGARCH Computer Architecture News10.1145/307338.30099927:2(234-245)Online publication date: 1-May-1999
      • (1999)A scalable front-end architecture for fast instruction deliveryProceedings of the 26th annual international symposium on Computer architecture10.1145/300979.300999(234-245)Online publication date: 2-May-1999
      • (1999)A Trace Cache Microarchitecture and EvaluationIEEE Transactions on Computers10.1109/12.75265248:2(111-120)Online publication date: 1-Feb-1999
      • (1998)Selective eager execution on the PolyPath architectureACM SIGARCH Computer Architecture News10.1145/279361.27939326:3(250-259)Online publication date: 16-Apr-1998
      • (1998)Selective eager execution on the PolyPath architectureProceedings of the 25th annual international symposium on Computer architecture10.1145/279358.279393(250-259)Online publication date: 16-Apr-1998
      • (1997)Alternative fetch and issue policies for the trace cache fetch mechanismProceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture10.5555/266800.266803(24-33)Online publication date: 1-Dec-1997

      View Options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Get Access

      Login options

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media