[go: up one dir, main page]

0% found this document useful (0 votes)
78 views116 pages

Course Structure and Curriculum B Tech Programme ECE

The document outlines the course structure and curriculum for the B Tech program in Electronics and Communication Engineering at Motilal Nehru National Institute of Technology Allahabad. It details various program categories, credit distributions, and a comprehensive list of courses across eight semesters, including core, elective, and professional courses. Additionally, it specifies options for minors and research opportunities within the program.

Uploaded by

mudassir16alam
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
78 views116 pages

Course Structure and Curriculum B Tech Programme ECE

The document outlines the course structure and curriculum for the B Tech program in Electronics and Communication Engineering at Motilal Nehru National Institute of Technology Allahabad. It details various program categories, credit distributions, and a comprehensive list of courses across eight semesters, including core, elective, and professional courses. Additionally, it specifies options for minors and research opportunities within the program.

Uploaded by

mudassir16alam
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 116

Course Structure and Curriculum

B Tech Programme

ELECTRONICS AND COMMUNICATION


ENGINEERING

Department of Electronics and Communication Engineering


Motilal Nehru National Institute of Technology Allahabad
Prayagraj-211004

1
DEGREE FORMAT AND NOMENCLATURE
Sl. Program Category Branch Nomenclature
No.
1 4 Year Undergraduate Program ECED B Tech (Electronics and Communication Engineering)
2 4 Year Undergraduate Program For Other B Tech (XXXX) and Minor in (VLSI Design)
with Minor Branches
3 4 Year Undergraduate Program For Other B Tech (XXXX) and Minor in (Signal Processing)
with Minor Branches
4 4 Year Undergraduate Program For Other B Tech (XXXX) and Minor in (Digital Systems)
with Minor Branches
5 4 Year Undergraduate Program For Other B Tech (XXXX) and Minor in (Communication Systems)
with Minor Branches
6 4 Year Undergraduate Program ECED B Tech Honours (Electronics and Communication Engineering)
with Honours
7 4 Year Undergraduate Program ECED B Tech (Electronics and Communication Engineering) with
with Research Research (in VLSI)
8 4 Year Undergraduate Program ECED B Tech (Electronics and Communication Engineering) with
with Research Research (in Signal Processing)
9 4 Year Undergraduate Program ECED B Tech (Electronics and Communication Engineering) with
with Research Research (in Communication Systems)

CREDIT MATRIX AT VARIOUS LEVELS


Sl. Program Category Credit Distribution
No. Base Credit Minor Honours Research Total
1 B Tech (Electronics and Communication 168 -- -- -- 168
Engineering)
2 B Tech (XXXX) Minor in (VLSI Design) 160-170 (From Other Branches) 17 -- -- 177-187
(Minor in VLSI Design will be offered in
3rd ,4th, 5th, 6th, and 7th semesters)
3 B Tech (XXXX) Minor in (Signal 160-170 (From Other Branches) 16 -- -- 176-186
Processing)
(Minor in Signal Processing will be
offered in 3rd, 5th, 6th, and 7th semesters)
4 B Tech (XXXX) Minor in (Digital 160-170 (From Other Branches) 16 -- -- 176-186
Systems)
(Minor in Digital Systems will be offered
in 3rd ,4th, 5th, and 7th semesters)
5 B Tech (XXXX) Minor in 160-170 (From Other Branches) 20 -- -- 180-190
(Communication Systems)
(Minor in Communication Systems will be
offered in 3rd ,4th, 5th, 6th, and 7th
semesters)
6 B Tech Honours (Electronics and 168 -- 20 -- 188
Communication Engineering)
(Honours Programme will be offered in
5th, 6th and 7th semesters)
7 B Tech (Electronics and Communication 168 -- -- 20 188
Engineering) with Research (in VLSI)
8 B Tech (Electronics and Communication 168 -- -- 20 188
Engineering) with Research (in Signal
Processing)
9 B Tech (Electronics and Communication 168 -- -- 20 188
Engineering) with Research (in
Communication Systems)

2
Credits of B Tech ECE Courses as per proposed requirement

Course Category Courses offered in First Year as Reference Credits 1st Balance Total Credits of B
Nomenclature per the recommendations of First Total Credits year Credits for Tech ECE for 4
Year Course Committee for 4 Years B remaining 3 Years
(Accepted)
May2022 (Accepted) Tech years

PCE (Professional Professional Communication, 14 (8.3%) 05 09 14(8.3%)


competence Extra Academic Activities-A,
(Balanced)
enhancing Courses Professional ethics and Social
Values

Introduction to Artificial 22 (13.1%) 07 NIL 22 (13.1%)


Intelligence and Machine
Learning, Engg. Graphics,
Workshop and Manufacturing
Processes

CEF (Core Physics, Chemistry, Mathematics- 16


Engineering I, Mathematics-II
Foundation courses)

CES Core Engineering Supporting 112 (66.7%) 03 100 112 (66.7%)


Courses
(Balanced)
CEE Core Engineering Essential 09
Courses, Electives

Extra Academic Extra Academic Activity (EAA)- 06 (3.6%) 02 04 06 (3.6%)


Activity Related Group B
(Balanced)
Courses (EAA)-
Group B

Industrial Training/ Group Project 14 (8.3%) -- 14 14 (8.3%)

TOTAL 168 42 168

3
1. PROGRAM: B Tech (Electronics and Communication Engineering)

COURSE STRUCTURE UG PROGRAM (B Tech ECE)


First Semester (Electronics and Communication Engineering)
Sl. No. Course name Cat L T P Credit Contact Remarks
Hours
1 Physics/Chemistry CEF 2 1 2 4 5 Branch Specific Physics and
Chemistry Courses (Alternatively
in each semester)
2 Mathematics-I CEF 3 1 0 4 4 Common Course for all Branches
3 Professional Communication/Introduction to Artificial PCE 2 0 2 3 4 Common Course for all Branches
Intelligence and Machine Learning As per the clause 23.13 of the NEP
2020
4 Core Engineering Essential Course I (Flexible L-T-P) CEE 2 0 2 3 4 Branch Specific Course of that
Basic Electronics branch only here ECE
5 Core Engineering Essential Course II (Flexible L-T-P) CEE 2 0 2 3 4 Branch Specific Course of that
Digital Electronics branch only here ECE
6 Electronics Workshop and Manufacturing PCE/ 1 0 2 2 3 Common Course (Alternatively in
Processes/CAD for Electronics CEE each semester) If any department
does not want to adopt this/these
course(s) for the specific branch,
the department may float branch
specific course(s) in that/those
places here ECE
7 Environment and Climate Change PCE 2 0 0 0 2 Common Course for all Branches
(This is an Audit Course)
8 Extra Academic Activity-A/ EAA 0 0 4 2 4** Common Course for all Branches
Extra Academic Activity-B (With different titles)
*Engagement beyond Academic
Activity Duration
*Evaluation of Grading system to
be worked on
Total 14 2 14 21 26+4**

Second Semester (Electronics and Communication Engineering)


Sl. No. Course name Cat L T P Credit Contact Remarks
Hours
1 Chemistry/Physics CEF 2 1 2 4 5 Branch Specific Physics and
Chemistry Courses (Alternatively
in each semester)
2 Mathematics-II CEF 3 1 0 4 4 Branch Specific Mathematics
Course
3 Introduction to Artificial Intelligence and Machine PCE 2 0 2 3 4 Common Course for all Branches
Learning/ Professional Communication As per the clause 23.13 of the NEP
2020
4 Core Engineering Supporting Course (Flexible L-T-P) CES 2 0 2 3 4 Course to be floated by each
Principles of Electronics Engineering department (here ECE) only for
the students of other Branches
5 Core Engineering Essential Course III CEE 2 0 2 3 4 Branch Specific Course of that
(Flexible L-T-P) branch only here ECE
Principles of Communication Engineering

6 CAD for Electronics/ Electronics Workshop and CEE/P 1 0 2 2 3 Common Course (Alternatively in
Manufacturing Processes CE each semester) If any department
does not want to adopt this/these
course(s) for the specific branch,
the department may float branch
specific course(s) in that/those
places here ECE
7 Extra Academic Activity-A/ EAA 0 0 4 2 4** Common Course for all Branches
Extra Academic Activity-B (With different titles)
*Engagement beyond Academic
Activity Duration
*Evaluation of Grading system to
be worked on
Total 12 2 14 21 24+4**

N.B. Course Structure and Curriculum for B Tech First Year comprising of First and Second Semesters have already been
finalized and accepted at Institute level.

4
Third Semester (Electronics and Communication Engineering)
Course Code Course name L T P Credit
EC-13101 Electromagnetic Theory 3 0 0 3
EC-13102 Signals and Systems 3 0 0 3
CS-13101 Data Structures and Operating Systems 3 0 2 4
EE-13111 Networks and Systems 3 0 0 3
EC-13103 Microprocessor and Its Applications 3 0 2 4
EC-13104 Solid State Devices and Circuits 3 0 2 4
HS-XXXXX Business Economics 3 0 0 3
Extra Academic Activity-B-II 0 0 4 2
Total 21 0 10 26

Fourth Semester (Electronics and Communication Engineering)


Course Code Course name L T P Credit
EC-14101 VLSI Technology 3 0 0 3
EC-14102 Digital Communication 3 0 2 4
EE-14111 Automatic Control Systems 3 0 2 4
EC-14103 Antenna and Wave Propagation 3 0 0 3
EC-14104 Microcontrollers and Embedded Systems 3 0 2 4
HS-XXXXX Management Concepts and Applications 3 0 0 3
Extra Academic Activity-B-II 0 0 4 2
Total 18 0 10 23

Fifth Semester (Electronics and Communication Engineering)


Course Code Course name L T P Credit
EC-15101 Digital Signal Processing 3 1 2 5
EC-15102 Computer Architecture 3 0 0 3
EC-15103 Data Communication Networks 3 0 0 3
EC-15104 Electronic Circuit Design 3 1 2 5
EC-15105 Optical Communication 3 0 0 3
EC-15106 VLSI Design 3 0 2 4
EC-15301,15302,15401,15402,15501, Elective-I 3 0 0 3
15502
Total 21 2 6 26

Sixth Semester (Electronics and Communication Engineering)

Course Code Course name L T P Credit


EC-16101 Digital Image Processing 3 0 2 4
EC-16102 RF and Microwave Engineering 3 0 2 4
EC-16103 Semiconductor Devices and Modeling 3 0 0 3
HS-XXXXX Soft Skills and Personality Development 3 0 0 3
EC-16301,16302,16401,16402,16501, Elective-II 3 0 0 3
16502
EC-16303,16304,16403,16404,16503, Elective-III 3 0 0 3
16504
Total 18 0 4 20

Seventh Semester (Electronics and Communication Engineering)

Course Code Course name L T P Credit


EC-17101 Mobile and Wireless Communication 3 0 2 4
EC-17102 Nano Electronics and Its Applications 3 0 0 3
EC-17301,17302,17401,17402,17403, Elective – IV 3 0 0 3
17501,17502
EC-17303,17304,17403,17405,17406, Elective-V 3 0 0 3
17503
EC-XXXXX Project 0 0 4 4
Total 12 0 6 17

5
8th Semester (Electronics and Communication Engineering)

Course Code Course name L T P Credit


EC-18601 Major Project/Industrial Placements 0 0 14 14
Total 0 0 14 14

Total Credits=168

Professional Elective- I

Communication
1. EC15301 Modern Radar Systems
2. EC15302 Multimedia Communication
Signal Processing
1. EC15401 Advanced Computer Architecture
2. EC15402 Advanced Microcontrollers
VLSI
1. EC15501 Advanced Analog Design
2. EC15502 MEMS & Integrated Sensors

Professional Elective-II

Communication
1. EC16301 Advanced Digital transmission
2. EC16302 Satellite Communication
Signal Processing
1. EC16401 VLSI Signal Processing
2. EC16402 Adaptive Signal Processing
VLSI
1. EC16501 Testing & Verification of VLSI Circuits
2. EC16502 VLSI Interconnects

Professional Elective-III

Communication
1. EC16303 Advanced Wireless Networks
2. EC16304 Intelligent Communication Systems
Signal Processing
1. EC16403 Two-dimensional Signals and Systems
2. EC16404 Mathematics for Machine Learning
VLSI
1. EC16503 VLSI Physical Design & Automation
2. EC16504 Low Power VLSI Design

Professional Elective-IV

Communication
1. EC17301 WDM Optical Networks
2. EC17302 Advanced Wireless Communication
Signal Processing
1. EC17401 Digital Hardware Design
2. EC17402 Switching Circuits and Finite Automata Theory
3. EC17403 Advanced DSP Architecture
VLSI
1. EC17501 Mixed Mode VLSI Design
2. EC17502 RF IC Design

6
Professional Elective-V

Communication
1. EC17303 Adaptive and Smart Antenna
2. EC17304 Electromagnetic Interference and Compatibility
Signal Processing
1. EC17404 DSP Processors
2. EC17405 Image Analysis and Pattern Recognition
3. EC17406 Signal Compression Techniques
VLSI
1. EC17503 VLSI for IOT

Lab Elective
1. EC17201 Advanced Wireless and Optical Communication Lab
2. EC17202 Advanced Microcontrollers Lab
3. EC17203 Advanced VLSI Lab
4. EC17204 FPGA Lab

7
Courses offered by ECED in First Semester B Tech ECE

Course Code Course name L T P Credit


EC-11101 Basic Electronics 2 0 2 3
EC-11102 Digital Electronics 2 0 2 3
EC-11103 Electronics Workshop and Manufacturing Process 1 0 2 2
EC-11104 CAD for Electronics 1 0 2 2

EC11103 and EC11104 will be offered to the students of ECE in 1st and 2nd semesters
alternatively.

BASIC ELECTRONICS (EC-11101)


Course Outcomes:

On successful completion of the course students will be able:

• To understand the basics of PN junction diode and its applications in electronic circuit design.
• To introduce different special purpose diode devices.
• To introduce the basics of transistor devices, characteristics, and its applications.
• To understand the operation of BJTs at low frequency.
• To introduce the basics of Field Effect Transistors.
• To acquire basic knowledge of operational amplifier and its applications as arithmetic circuits

UNIT 1: Transport Phenomenon in Semiconductor: Crystal Properties and charge Carriers in


Semiconductors, Elemental and compound semiconductor materials, crystal lattice structure, Bonding
forces, band theory, energy bands in solids, Intrinsic and Extrinsic semiconductors, charge carriers in
semiconductors, carrier concentrations, drift of carriers in electric and magnetic fields.
5(L)
UNIT 2: Diodes- Introduction to pn diode and its applications as rectifier, rectifier as DC Power
Supply, Clamper, Clipper, Voltage multiplier etc., Zener diode and its applications as regulator,
Operation and characteristics of Varactor diode, Tunnel diode, LED, Photo diode, and Schottky diodes
etc. 5(L)

UNIT 3: Bipolar Junction Transistors- Junction Transistor, transistor current components,


Transistor as an amplifier, transistor construction, CB, CE, CC Configurations, analytical expressions
for transistor characteristics, maximum voltage rating, phototransistor, biasing of bipolar junction
transistors. Introduction to hybrid model. 5(L)

UNIT4: Field Effect Transistors-Basics of JFET and MOSFET, construction, working, concept of
p i n c h -off, characteristics of JFET, MOSFET (Enhancement and Depletion), CG, CS, CD
Configuration, JFET Biasing, FET as a voltage variable resistor. 5(L)

UNIT 5: Operational Amplifier-Ideal & non-ideal characteristics, concept of summing junction and
virtual ground. Application of operational amplifier as: Adder, Subtractor, Differentiator, Integrator,
Multiplier, Unity gain amplifier, Logarithmic amplifier, Square & Triangular wave generator, Schmitt
Trigger, Precision rectifier & Timing Circuits. 4(L)

Text/ Reference Books:

❖ Electronic devices and circuit theory by Robert Boylested and Louis Nashelsky
❖ Electronics Devices and Circuits by Millman & Halkias
❖ Electronic Devices and Circuits, An Introduction, EEE Publication by Allen Mottershed
❖ Electronic Devices and Circuits, Tata McGraw Hill by Y N Bapat

8
BASIC ELECTRONICS (LAB) (EC-11101)

Experiment 1: Familiarization to basic test and measuring instruments like Cathode Ray
Oscilloscope(CRO), Function Generator, Power supply, Breadboard etc.
Experiment 2: To measure the frequency and amplitude of various waveforms using CRO.
Experiment 3: To verify the truth tables of different logic gates by using ICs and implement different
logic gates using IC 7400.
Experiment 4: To study the pn junction diode characteristics under forward and reverse bias conditions.
Experiment 5: To study the application of a Zener diode as voltage regulator.
Experiment 6: To determine the ripple factor of Half-Wave and Full-wave (Bridge) rectifiers.
Experiment 7: To observe the clipping wave forms in different clipping configurations.
Experiment 8: To observe the clamping wave forms in different clamping configurations.
Experiment 9: To determine the CE (Common Emitter) characteristics of a given BJT.
Experiment 10: To plot the drain and transfer characteristics of a given FET and to find drain resistance.
Experiment 11: To verify the addition and subtraction operation using op-amp 741.

DIGITAL ELECTRONICS (EC-11102)

Course outcomes:
On successful completion of the course, the students will be able to:

• Have a basic understanding of the minimization techniques used in digital electronics.


• Have a thorough understanding of the concepts and design of different combinational logic
circuits.
• Understand, analyze and design various programmable logic devices.
• Understand and investigate the fundamental concepts of sequential logic circuits.
• Have a rigorous study of the various sequential logic circuits and to learn the design methods of
the same.
• Acquire knowledge and analyze the design of different counters and shift register circuits.
• Have a detailed understanding of design and working of multivibrators.
• Know about different characteristics of logic families and also analyze their design and working.

UNIT 1: COMBINATIONAL LOGIC: A brief review of minimization techniques, introduction to


combinational logic, design procedure, adders, subtractors, code converters, magnitude comparator,
BCD to Seven segment decoder, parity generator and checker, decoders, encoders, multiplexers,
demultiplexers, ROMs, design of the circuits using decoders, multiplexers and ROMs 6(L)

UNIT 2: PROGRAMMABLE LOGIC DEVICES: Programmable Logic Array (PLA),


Programmable Array Logic (PAL), design of the circuits using PLA and PAL, Field Programmable
Gate Array (FPGA). 3(L)

UNIT 3: SEQUENTIAL LOGIC: Introduction, flip-flops, flip-flop excitation tables, triggering of flip-
flops, analysis of clocked sequential circuits, state reduction and assignment, race around condition,
Master-slave flip-flops, Conversion of flip-flops, sequence detector. 4(L)

UNIT 4: COUNTERS AND REGISTERS: Design of synchronous and ripple counters, Mod-k or
Divide-by-k counters, decade counter, BCD counter, Up/Down counters, lock out problem, design
with state equations, shift register, serial to parallel converter, parallel to serial converter, ring
counters,twisted-ring counter, sequence Generator 6(L)

9
UNIT 5: TIMING CIRCUITS and LOGIC FAMILIES: Multivibrators, characteristics of digital ICs,
DTL, TTL, ECL, MOS logic and CMOS logic calculation of noise margins and fan-out 5(L)

Text Book:
❖ M. Morris Mano, Digital Design, Third Edition, Prentice Hall.

Reference Books:
❖ Taub and Schilling, Digital Integrated Electronics, McGraw HILL
❖ R. P. Jain, Modern Digital Electronics, Third Edition, TMH.
❖ Richard S. Sandige, Digital concepts using standard ICs, J. Williams Book Co.
❖ R. J. Tocci, Digital Systems: Principles and Applications, Fourth Edition, Prentice Hall.

DIGITAL ELECTRONICS LAB

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand the basics of digital logic design.


• Be familiar with the practical implementation of combinational/ sequential digital systems.
• Analyze and synthesize digital circuits using finite state machines.
• Implementation of combinational/ sequential logic using virtual system modelling/VHDL

Experiment 1: Verification of operation of Full Adder and Full Subtractor.


Experiment 2: Design & verification of 4-bit binary adder/subtractor using binary adder IC.
Experiment 3: Realization of operation of full adder and full subtractor using IC 74151/74153 MUX.
Experiment 4: Design & verification of full adder and full subtractor using an inverted output 3-to-8-line
decoder.
Experiment 5: Design and verification of operation of a BCD Adder using IC 7483.
Experiment 6: Realization of 4 X 1 Multiplexer using basic gates.
Experiment 7: Verification of operation of BCD to Seven segment code conversion using IC 7447.
Experiment 8: Verification of Truth Tables of SR Flip flop, D Flip flop, Master Slave JK Flip-Flop.
Experiment 9: Design of MOD-8 Up/Down synchronous counter, BCD ripple counter and Universal
Shift Register.
Experiment 10: Design of a sequential circuit from a given state diagram.
Experiment 11: Design and verification of Astable and Monostable Multivibrators using IC 555.
Experiment 12: Implementation of basic Combinational and sequential circuits
(a) Using VSM (Virtual System Modelling) (b) Using VHDL

10
ELECTRONICS WORKSHOP AND MANUFACTURING PROCESS (EC-11103)

Course outcomes:
On successful completion of the course in theory and practical approach students will be able to:
• Have a basic understanding of the electronic components, ICs.
• Have an understanding of the use of electronic devices
• Understand, the process of soldering and securing the components on PCB
• Familiarize with 3-D printer and its uses
• Familiarize with the use spectrum analyzer and signal generator
• Familiarize with software tools used in circuit implementation
• Familiarize with semiconductor device manufacturing process

THEORY:

UNIT 1: Basics of CRO, DSO, Function Generator, Multimeter, Bread Board, Power Supply. Active and Passive
Components, Types of ICs and Their uses [4]

UNIT 2: Introduction to soldering process, types of soldering. [1]

UNIT 3: Regulated DC power supply and its uses. [1]

UNIT 4: Introduction to advanced electronic devices like Spectrum Analyzer, Signal Generator. [2]

UNIT 5: Introduction to 3-D Printer and its uses [2]

UNIT 6: Introduction to semiconductor manufacturing process. [2]

LAB:

Experiment 1: Familiarization with Multimeter, Power Supply, Bread Board, Function Generator

Experiment 2: Use of DSO as measuring device and its functionalities

Experiment 3: Identification of Active and Passive components and their testing using Multimeter and
DSO
Experiment 4: Soldering of components on PCB and their functionality testing on DSO

Experiment 5: Design and Implementation of Full wave Rectifier on PCB

Experiment 6: Design and Implementation of Regulated DC Power Supply on PCB

Experiment 7: Familiarization with Spectrum Analyzer and Signal Generator

Experiment 8: Study and familiarization of electronic 3-D Printer

Experiment 9: Familiarization with Verilog software for circuit implementation.

Experiment 10: Familiarization with Semiconductor Device Manufacturing Processes

11
CAD FOR ELECTRONICS (EC11104)

Theory Contents:

UNIT 1: PSpice overview, Symbols and Conventions, Basic Analyses, DC Sweep and other DC Calculations,
AC Sweep [3]

UNIT 2: Analyzing waveforms with PSpice, PSpice Stimulus Editor, PSpice Model Editor [2]

UNIT 3: Files needed for Simulations, Netlist File, Circuit File, Model Library, Stimulus File, Include File,
Wave Form Data File, PSpice Output File [3]

UNIT 4: Simulation Examples, Example Circuit Creations, Preparing Design for Simulations, Creating Parts
for Modeling, Creating and Editing Models [4]

PSPICE Experiments
1. (a)Transient Analysis of BJT inverter using step input. (b)DC Analysis (VTC) of BJT inverter

2. To verify the Characteristics of Low Pass and High Pass filters

3. Verification of Half Wave and Full Wave Rectifier

4. To verify the characteristics of Basic Logic Gates

5. Implementation of XOR gate using NOR gate

6. To verify the Characteristics of CE Amplifier

7. Synthesis and Simulation of Full Adder

8. Synthesis and Simulation of Full Subtractor

9. Synthesis and Simulation of 3 X 8 Decoder

10. Synthesis and Simulation of 8 X 1 Multiplexer

11. Synthesis and simulation of Comparator

12. Analysis OPAMP based low pass filter and High Pass Filter

12
Courses offered by ECED in Second Semester B Tech ECE

Course Code Course name L T P Credit


EC-12101 Principles of Electronics Engineering 2 0 2 3
EC-12102 Principles of Communication Engineering 2 0 2 3
EC-12103 Electronics Workshop and Manufacturing Processes 1 0 2 2
EC-12104 CAD for Electronics 1 0 2 2

N.B. EC-12101 is a supporting Course for students of other branches other than ECE students
EC-12103 contains same syllabus as EC-11103, this Course is alternatively introduced in 1st and
2nd semesters
EC-12104 contains same syllabus as EC-11104, this Course is alternatively introduced in 1st and
2nd semesters

PRINCIPLES OF ELECTRONICS ENGINEERING (EC-12101)


Course Outcomes:

On successful completion of the course students will be able:

• To understand the basics of PN junction diode and its applications in electronic circuit design.
• To introduce different special purpose diode devices.
• To introduce the basics of transistor devices, characteristics, and its applications.
• To understand the operation of BJTs at low frequency.
• To have a thorough understanding of the concepts and design of different combinational
logiccircuits.
• To understand and investigate the fundamental concepts of sequential logic circuits.

UNIT 1: Transport Phenomenon in Semiconductor: Crystal Properties and charge Carriers in


Semiconductors, Elemental and compound semiconductor materials, crystal lattice structure, Bonding
forces, band theory, energy bands in solids, Intrinsic and Extrinsic semiconductors, charge carriers in
semiconductors, carrier concentrations, drift of carriers in electric and magnetic fields.
4(L)
UNIT 2: Diodes- Introduction to pn diode and its applications as rectifier, rectifier as DC Power
Supply, Clamper, Clipper, Voltage multiplier etc., Zener diode and its applications as regulator,
Operation and characteristics of Varactor diode, Tunnel diode, LED, Photo diode, and Schottky diodes
etc. 5(L)

UNIT 3: Transistors- Junction Transistor, transistor current components, Transistor as an amplifier,


transistor construction, CB, CE, CC Configurations, analytical expressions for transistor
characteristics, maximum voltage rating, phototransistor, biasing of bipolar junction transistors.
Introduction of JFET, MOSFET & its operation. Practical application circuits. 6(L)

UNIT 4: Combinational Logic: Introduction, Design Procedure, Adders, Subtractors, Code


Converters, Magnitude Comparator, BCD to Seven Segment decoder, Parity generator and Checker,
Decoders, Encoders, M u l t i p l e x e r s, Demultiplexers, ROMs, Design of the circuits using Decoders,
Multiplexers, ROMs. 5(L)

UNIT 5: Sequential Logic: Introduction, Flip-Flops, Flip-Flop Excitation Tables, Triggering of Flip-
Flops, Analysis of Clocked Sequential Circu its, State Reduction and Assignment, Race
Around Condition, Master-Slave f l i p-flops, Conversion design of flip-flops, sequence detector.
4(L)

13
Text/ Reference Books:

❖ Electronic devices and circuit theory by Robert Boylested and Louis Nashelsky
❖ Electronics Devices and Circuits by Millman & Halkias
❖ Digital design by Morris Mano
❖ Modern Digital Electronics by R. P. Jain
❖ Taub and Schilling: Digital Integrated Electronics, McGraw HILL
❖ Richard S. Sandige: Digital concept using standard ICs
❖ R. J. Tocci: Digital Systems: Principles and Applications, Fourth Edition, Prentice Hall

PRINCIPLE OF ELECTRONICS ENGINEERING (LAB) (EC-12101)

Experiment 1: Familiarization to basic test and measuring instruments like Cathode Ray
Oscilloscope(CRO), Function Generator, Power supply, Breadboard etc.

Experiment 2: To measure the frequency and amplitude of various waveforms using CRO.

Experiment 3: To verify the truth tables of different logic gates by using ICs and implement different
logic gates using IC 7400.

Experiment 4: To study the pn junction diode characteristics under forward and reverse bias conditions.

Experiment 5: To study the application of a Zener diode as voltage regulator.

Experiment 6: To determine the ripple factor of Half-Wave and Full-wave (Bridge) rectifiers.

Experiment 7: To observe the clipping wave forms in different clipping configurations.

Experiment 8: To observe the clamping wave forms in different clamping configurations.

Experiment 9: To determine the CE (Common Emitter) characteristics of a given BJT.

Experiment 10: Verification of operation of Full Adder and Full Subtractor.

Experiment11: Design & verification of 4-bit binary adder/subtractor using binary adder IC.

Experiment 12: Realization of operation of full adder and full subtractor using IC 74151/74153
MUX.

PRINCIPLES OF COMMUNICATION ENGINEERING (EC-12102)

Course Outcomes:
On successful completion of the course students will be able to:
• Understand the basic concepts of signals and random variables
• Understand the basic concepts of various AM modulators and demodulators.
• Understand the basic concepts of various FM and PM modulators and demodulators.
• Learn the working and application of Radio receivers.
• Understand the performance of Communication Systems in presence of Noise.
• Understand Sampling and various types of pulse modulation.

UNIT 1: Review of Signal Representations, Frequency domain analysis of signals using Fourier Transforms,
Random Variables, PSD, PDF, CDF, Different types of PDFs, Gaussian, Rayleigh PDF, Random Processes,
14
Auto-correlation function, Introduction to Communication systems, guided and unguided transmission
media, radio frequency spectrum, Concept of bandwidth, Mathematical models for communication channels,
Linear filter channel, Linear time-invariant channel 8(L)

UNIT 2: Amplitude modulation and demodulation: DSB- A M S S B - A M , V S B - A M , Quadrature Carrier


multiplexing, and FDM. 5(L)

UNIT 3: Modulation and demodulation of FM, NBFM, WBFM, and Phase lock loop. 3(L)

UNIT 4: Radio receivers: Super-heterodyne receiver Sensitivity and selectivity, selection of IF, Communication
Receiver. 2(L)

UNIT 5: Noise in Communication Systems: S/N ratio, noise Equivalent bandwidth, Noise performance of AM
and FM systems under AWGN 3(L)

UNIT 6: Sampling Theorem, Quantization Process, PCM, Applications of AM, FM, PAM, PWM 3(L)

Text/ Reference Books:


❖ Communication System Engineering – John G Proakis
❖ Communication Systems- Simon Haykin, Wiley Publication, 5th edition, 2009
❖ Modern Digital and Analog Communication Systems- B.P. Lathi, 3rd edition, Oxford
UniversityPress, 1998.
❖ Contemporary Communication Systems using MATLAB- John G Proakis

PRINCIPLES OF COMMUNICATION ENGINEERING LAB (EC-12102)

Experiment 1: To implement Amplitude Modulation (AM), Demodulation and calculate the modulation index.

Experiment 2: To implement Frequency Modulation (FM) uses IC 2206 and Demodulation using IC 565.

Experiment 3: To implement analog pulse Amplitude Modulation and Demodulation

Experiment 4: Pulse Position Modulation and Demodulation

Experiment 5: To implement Phase Locked Loop (PLL) and find out the lock range and capture range.

Experiment 6: To study and observe frequency response of Low-pass, High-pass, Band-pass and Notch filter
using Spectrum Analyzer.

Experiment 7: To Implement AM using MATLAB/ System View.

Experiment 8: To Implement FM using MATLAB/ System View.

Experiment 9: To Design transmitter (AM and FM) using MATLAB/ System View.

Experiment 10: To design receiver (AM and FM) using MATLAB/ System View.

15
Courses offered by ECED in Third Semester B Tech ECE
Course Code Course name L T P Credit
EC-13101 Electromagnetic Theory 3 0 0 3
EC-13102 Signals and Systems 3 0 0 3
CS-13101 Data Structures and Operating Systems* 3 0 2 4
EE-13111 Networks and Systems 3 0 0 3
EC-13103 Microprocessor and Its Applications* 3 0 2 4
EC-13104 Solid State Devices and Circuits* 3 0 2 4
HS-XXXXX Business Economics 3 0 0 3
Extra Academic Activity-B-II 0 0 4 2
Total 21 0 10 26

* Theory and Lab Course

ELECTROMAGNETIC THEORY (EC-13101)

Course Outcomes:
On successful completion of the course students will be able to:

• Identify different coordinate systems and their applications in solving the problems of
electromagnetic field theory as well as explain the concept of static electric and
magneticfield
• Learn the fundamental laws governing time varying electromagnetic fields
• Learn Electromagnetic wave propagation in different media and wave polarization
• Understand the different parameter of transmission line, concept of impedance
matching,graphical approach to solve the problem of transmission line
• Learn the concept of waveguide, its classification and respective modes of propagation.

UNIT 1: Introduction- Review of Physical interpretation of gradient, divergence and curl, Divergence
and Stokes theorem, Different coordinate systems. Electrostatic fields and magneto static fields. 4(L)

UNIT 2: TIME VARYING ELECTROMAGNETIC FIELDS- Continuity equation, Displacement


current, Maxwell’s equations in point form and integral form, Retarded vector potential 4(L)

UNIT 3: PLANE WAVE PROPAGATION- Plain wave equation and its solution in conducting and
non-conducting mediums, Phase velocity, Group velocity, Plane waves in lossy dielectrics,
Propagation in good conductors: skin effect, impedance of conducting medium, Poynting Vector,
Poynting theorem and power considerations Polarization, Reflection and Refraction of plain waves at
plain boundaries. 8(L)

UNIT 4: TRANSMISSION LINES- Transmission line equations, parameters- primary and secondary
constants, Analogy of transmission lines, Determination of α, β, γ and vp, characteristics impedance,
Input impedance of a lossless line, open and short-circuited lines, distortion-less lines, reflection
coefficient and standing wave ratio, matched transmission line, Impedance matching, Smith-chart and
its applications. 8(L)

UNIT 5: WAVEGUIDES- Rectangular waveguide, Circular Waveguides. Solution of wave equation


in rectangular and cylindrical co-ordinates, Derivation of field equations for TE & TM modes,
degenerate and dominant mode, Power Transmission and Power loss, Excitation of waveguides,
Introduction to Cavity Resonator and Substrate Integrated Waveguide 8(L)
UNIT 6: COMPUTATIONAL ELECTROMAGNETICS- Method of Moments, Finite element
method (FEM), Finite difference time domain method (FDTD) and Asymptotic Methods {Uniform
theory of Diffraction (UTD) and Geometric Theory of Diffraction (GTD)}, Hybrid Methods 4(L)

16
Text/ Reference Books:
❖ Matthew N.O. Sadiku ‘Elements of Electromagnetics’ Oxford University Press, 6th Edition, 2015
❖ Kraus J.D, “Electromagnetics” Tata Mc Graw Hill, 5th Edition, 2012
❖ Jordan E.C. and Balmain K.G., “Electromagnetic waves and Radiating Systems” PHI, 2nd Edition,
2015
❖ Samuel Y. Liao “Microwave Devices and Circuits” PHI, 3rd Edition, 2005
❖ X.Q. Sheng and W. Song “Essentials of Computational Electromagnetics” John Wiley & Sons,
First Edition, 2012

SIGNALS AND SYSTEMS (EC-13102)

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand the mathematical description and representation of various types of signals –


continuous-time and discrete-time. Classify systems based on their properties.
• Analyze the spectral characteristics of continuous-time/discrete-time periodic and aperiodic
signals using Fourier analysis.
• Analyze system properties based on impulse response and Fourier analysis.
• Apply the Laplace transform for the analysis of continuous-time signals. Understand the
concept of Z-transform for discrete-time signals.
• Convert a continuous-time signal into discrete-time signal and reconstruct the continuous-time
signal back from its samples.

UNIT 1: SIGNALS AND THEIR REPRESENTATION: Analogy between vectors and signals,
continuous-time and discrete-time signals: energy and power signals, periodic and aperiodic signals, even
and odd signals, exponential and sinusoidal signals etc., transformations of the independent variable,
concepts of unit impulse and unit sample signals, signum function.
SYSTEMS: Continuous-time and discrete-time systems, basic system properties. 6(L)

UNIT 2: LINEAR TIME-INVARIANT (LTI) SYSTEMS: Continuous and discrete-time LTI systems,
convolution sum, convolution Integral, properties of LTI systems, stability and causality, causal LTI
systems described by difference equations, singularity functions. 6(L)

UNIT 3: FOURIER SERIES REPRESENTATION OF PERIODIC SIGNALS: Continuous-time and


discrete- time signals and their Fourier series representation, properties of Fourier series, Dirichlet’s
conditions, complex Fourier spectrum. 6(L)

UNIT 4: REPRESENTATION OF APERIODIC SIGNALS BY FOURIER TRANSFORMS:


Continuous-time and discrete-time signals and their Fourier transforms, Fourier transforms of periodic
signals and standard signals, properties of Fourier Transforms, systems characterized by linear constant
coefficient differential equations and difference equations. 6(L)

UNIT 5: LAPLACE TRANSFORMS AND Z-TRANSFORMS: Introduction to Laplace transform and


Region of Convergence (ROC), Inverse Laplace transform, properties of Laplace transform. Analysis and
characterization of LTI systems using Laplace transform. Introduction to Z-transform, definition, ROC,
properties of ROC, relationship between Z-transform and Fourier transform, properties of Z-transform.
8(L)

UNIT 6: SAMPLING: Representation of continuous-time signals by its samples, Sampling theorem,


impulse train sampling, sampling with Zero Order Hold (ZOH), natural and flat top sampling,
reconstruction of signal from its samples using interpolation, effect of under sampling – aliasing, sampling
of band pass signals. 4(L)
17
Text Books:
❖ A. V. Oppenheim, A. S Willsky and S. H. Nawab, Signals and Systems, Prentice-Hall,
Englewood Cliffs.
❖ Michel J. Robert, Fundamentals of Signals and Systems, MGH International Edition, 2008.

Reference Books:
❖ Simon Haykin and Van Veen, Signals and Systems, Wiley, 2nd Edition.
❖ M. Mandal and A. Asif, Continuous and Discrete Signals and Systems, Cambridge, 2007.

MICROPROCESSORS AND ITS APPLICATIONS (EC-13103)

Course Outcomes:
On successful completion of the course, the students will be able to:

• To understand architectural features of microprocessors.


• To understand assembly language programming of microprocessors.
• To understand interfacing of I/O devices with microprocessors.
• To understand and design microprocessors-based system.

UNIT 1: ARCHITECTURE OF AN 8-BIT MICROPROCESSOR: Internal organization of 8085, signal


descriptions, addressing modes. 5(L)

UNIT 2: ARCHITECTURE OF A 16-BIT MICROPROCESSOR: Programming model of 8086, BIU,


EU, segment-offset addressing, addressing modes, instructions and assembler directives, string
Operations. 8(L)

UNIT 3: CPU MODULE: Pin description, b us organization and timing, buffered and non-buffered
operation, minimum & maximum mode of operation, 8288 bus controller, physical memory organization
and interfacing. 5(L)

UNIT 4: INTERRUPT HANDLING: Interrupt vector table, the IRET instruction, writing ISRs,
interrupts due to errors, interfacing priority interrupt controller 8259 and its programming. 5(L)

UNIT 5: INTERFACING EXTERNAL DEVICES: Memory interfacing, Programmable peripheral


interface (8255), Programmable Interval Timer (8253/8254) and operating modes, Interfacing A/D and
D/A converters and measurement of physical & electrical quantities, basic DMA operations and timings,
8237 programmable DMA controller and its interfacing. 9(L)

UNIT 6: INTRODUCTION TO PENTIUM: Introduction to Pentium and Pentium pro processors, cache
structure, superscalar architecture, introduction to Core 2 microprocessors. 4(L)

Text Books:
❖ Ramesh S. Gaonkar, Microprocessors: Architecture Programming and Applications with 8085,
6/e, Penram International.
❖ Douglas V. Hal, Microprocessors and Interfacing, 3/e, McGraw hill.

Reference Books:
❖ Barry B. Brey, The Intel Microprocessors 8086/8088, 80186/80188, 80286, 80386, Pentium,
Pentium Pro Processor, Pentium II, Pentium III, Pentium 4 and Core 2 with 64-bit Extensions,
8/e, Pearson Education.
18
❖ Liu and Gibson, Microcomputer Systems: The 8086/8088 family, 2/e, PHI.
❖ K Bhurchandi, A. K. Ray, Advanced Microprocessor and Peripherals, 3/e, McGraw hill.

SOLID STATE DEVICES AND CIRCUITS (EC13104)


Course Outcomes:
On successful completion of the course students will be able:
• To understand the concept of band theory in solid crystals.
• To introduce basics of BJT biasing and thermal stability.
• To understand the operation of BJTs at high frequency.
• To understand the basics of Field Effect Transistors and their analysis at low and high
frequencies.
• To understand the concept of Feedback Amplifier.
• To introduce the concept of oscillators and its type.
• To acquire basic knowledge of power amplifier.

UNIT 1: Bipolar Junction Transistor: Transistor switching characteristics, small signal low frequency
transistor hybrid model, simplified hybrid model, breakdown in Transistors, Ebers- Moll transistor equations,
Analysis of transistor cutoff and saturation regions, Review of Biasing and Thermal Stability, effect of Ce and
Cc on low frequency and high frequency response, High frequency model of a transistor-hybrid pi model.10(L)

UNIT 2: MOSFET: Review of device structure operation and V-I characteristics. Introduction of MOSFET,
CMOS and BiCMOS. MOS CV Characteristic, MOSFET as an Amplifier and switch, Biasing of MOSFET
amplifier circuits, small -signal operation and models, single stage MOSFET amplifier, CS, CD and CG
amplifiers, MOSFET internal capacitances and high frequency model, frequency response of CS amplifier, large
signal analysis. 10(L)

UNIT 3: Feedback amplifiers: Classification of amplifiers, Concept of feedback, transfer gain with
feedback, General characteristics of negative feedback amplifiers, Effect of feedback on amplifier
characteristics, Method of analysis of a feedback amplifier using BJT/MOSFET, Voltage -Series feedback,
Current-Series feedback, Current-Shunt feedback, Voltage-Shunt feedback. 8(L)

UNIT 4: Oscillators: Basic principles of sinusoidal oscillators, Phase Shift oscillators, Resonant -Circuit
oscillators, General f o r m of an oscillator c i r c ui t (Hartley and Colpitts oscillators), Wien-bridge oscillator,
Crystal oscillators, Frequency Stability. 4(L)

UNIT 6: Power amplifiers: Classification of power amplifiers, class A, AB, B and C power amplifiers and
their efficiency, push-pull and complimentary Symmetry amplifiers. 4(L)

Text/ Reference Books:


❖ Electronic circuits: Discrete and Integrated by D. L. Schilling, Charles Belove.
❖ Microelectronic Circuits by A. S. Sedra and K. C. Smith.
❖ Integrated Electronics by J. Milliman and C. C. Halkias
❖ Microelectronics by J. Milliman and A. Grabel

19
ELECTRONIC DEVICES AND CIRCUITS (LAB)

Experiment 1: To determine the quiescent operating conditions of fixed and self -biased BJT
Configurations

Experiment 2: Measurement of h-parameters of a BJT in CB, CE and CC configurations

Experiment 3: To plot the drain and transfer characteristics of a given FET and find the drain
resistance,amplification factor and transconductance.

Experiment 4: To study the frequency response of an RC coupled amplifier and compute its
bandwidth.

Experiment 5: To study the frequency response of a CC amplifier and compute its bandwidth

Experiment 6: To study the frequency response of a CS FET amplifier and compute its bandwidth

Experiment 7: To find the Gain and Bandwidth of a voltage series feedback amplifier.

Experiment 8: Design a current series feedback amplifier of given Gain and Bandwidth.

Experiment 9: Design RC-phase shift and Wien-Bridge oscillators of given frequency and amplitude.

Experiment 10: Study of Power amplifiers a) Class A power amplifier b) Class B complementary
symmetry power amplifier

MICROPROCESSORS (LAB)

Outcomes:
On successful completion of the experiments in this lab, the students will be able to:

• To understand the organization of microprocessor trainer kits.


• To execute assembly language programming on the trainer kit.
• To verify operation of I/O interfacing devices.

Experiment 1: Familiarization with kit:


Decoding, storing and executing programs in trainer kit: Register addition, Indirect subtraction and
Immediate Add with Carry.

Experiment 2: Write a program to Add/ Subtract two BCD numbers stored in memory.

Experiment 3: Write a program to find out smallest/largest data from a table.

Experiment 4: Write a program to find out


(a) Sort out a table in ascending/descending order.
(b) Search a data from a given table.

Experiment 5: By using a Lookup Table stored in memory, write a program to find out
(a) ASCII code of a hexadecimal integer from a look table.
(b) Hexadecimal integer corresponding to given ASCII code.

Experiment 6: Write a program to multiply and divide two 8-bit numbers; result may be 16-bits.

Experiment 7: Study and verification of interrupts.

20
Interfacing Experiments:

Experiment 8: Study and verification of Mode 0 operation and BSR mode operation of 8255.

Experiment 9: Study and verification of various operating modes of PIT 8253/8254.

Experiment 10: Study and verification of various operating modes of Priority Interrupt Controller 8259.

Courses offered by ECED in Fourth Semester ECE

Course Code Course name L T P Credit


EC-14101 VLSI Technology 3 0 0 3
EC-14102 Digital Communication* 3 0 2 4
EE-14111 Automatic Control Systems* 3 0 2 4
EC-14103 Antenna and Wave Propagation 3 0 0 3
EC-14104 Microcontrollers and Embedded Systems* 3 0 2 4
HS-XXXXX Management Concepts and Applications 3 0 0 3
Extra Academic Activity-B-II 0 0 4 2
Total 18 0 10 23

* Theory and Lab Course

VLSI TECHNOLOGY (EC-14101)

Course Outcome:
On successful completion of the course students will be able to:
• Identify the various design limits material used for fabrication.
• Describe the Performance of technology scaling.
• Understand the complexities involved in the integrated circuits fabrication.
• Plan a sequence of processing steps to fabricate a solid-state device to meet geometric, electrical
and/or processing parameters.
• Understand and design solid state devices by keeping technological process constraints in mind.
• Understand the relevance of a process or device, either proposed, past or existing, to current
manufacturing practices.

UNIT 1: Introduction to VLSI Technology: Classification of ICs, features of ICs, monolithic and hybrid ICs.
Crystal Growth and Wafer Preparation: silicon crystal growth from the melt, GaAs crystal growth
techniques, crystal orientations, various defects in crystal, wafer preparation and wafer specifications. 6(L)

UNIT 2: Epitaxy: Epitaxy and its concepts, growth kinetics of epitaxy, vapor phase epitaxy, molecular beam
epitaxy, silicon on insulator epitaxy. Advanced epitaxial methods. 8(L)
Oxidation: Theory of growth of silicon dioxide layer, calculation of SiO2 thickness and oxidation kinetics, dry,
wet and high-pressure oxidation, plasma oxidation, properties of oxidation, defects induced due to oxidation.

UNIT 3: Lithography- Photolithography and pattern transfer, optical and electron photolithography, X-ray and
ion- beam lithography, photo-resist, types of photo-resists, Etching- dry & wet etching, basic regimes of plasma
etching, reactive ion etching and its damages, sputter etching, merits and demerits of etching. 8(L)

UNIT 4: Diffusion Process- Diffusion models of solid, ficks theory of diffusion, diffusivities, measurement
techniques, diffusion in polycrystalline silicon and silicon dioxide.
21
Ion implantation- Implantation equipment, high energy implantation, scattering phenomenon, range of
implanted ions, implantation damage, annealing.
Metallization- Metallization applications, metallization choices, physical vapor deposition, patterning &
problems in metallization. 9(L)

UNIT 5: Process Modelling &Simulation- Need and importance of semiconductor device simulators,
understanding of Poisson’s and continuity equation for semiconductor device simulation, key elements of
physical device simulation, second order effects, introduction to simulation tools. 5(L)

Text/Reference Books:
❖ VLSI Technology – S M Sze, McGraw Hill, 2nd Ed.
❖ VLSI Fabrication Principles – S.K Gandhi, Wiley, 2nd Ed.
❖ The Science & Engineering of Microelectronic Fabrication – Stephen A Campbell, 2nd Oxford
University Press.

DIGITAL COMMUNICATION (EC-14102)

Course Outcomes:
On successful completion of the course students will be able to:

• Understand the concepts of digital communication systems.


• Learn the basic concepts of random processes that are involved in communication systems
• Understand the concepts of baseband data transmission
• Understand the representation of signals in vector spaces
• Understand the concepts of passband data transmission
• Understand the information theory, channel capacity
• Learn different types error control coding schemes and their implications

UNIT 1: Review of Random Processes, Introduction to digital communication systems, Principles of digital
data transmission. 3(L)

UNIT 2: Baseband data transmission systems, Matched Filter, Error probability due to noise, ISI, pulse shaping,
Correlative-Level coding, Baseband M-ary Transmission and Line codes, Equalization, Geometric
Representation of Signals, Gram-Schmitt orthogonalization Procedure, Conversion of the continuous AWGN
Channel into Vector channel, Correlation Receiver , Probability of Error, Union Bound on Probability of Error.
9(L)
UNIT 3: Digital modulation schemes, ASK, PSK, QPSK, DPSK, M-ary PSK, FSK, MSK, Phase Trellis to
represent Message Sequence in MSK, GMSK and QAM systems, Matched Filter and Correlator equivalence,
Signal-space representation of each Digital Modulation schemes, Probability of error in AWGN. 10(L)

UNIT 4: Information and channel capacity, Entropy, Discrete and Continuous channels, BSC, Source coding
theorem, Shannon Fano and Huffman’s coding, Lempel-Ziv Source coding, Rate Distortion Theory, Differential
Entropy, Rate distortion Function, Shannon’s Channel Capacity theorem, Trading bandwidth for S/N etc.
Shannon’s limit. Performance of digital communication system. 8(L)

UNIT 5: Error control coding, Linear block codes, Cyclic codes, Convolution codes, Code generation and
detection methods 4(L)

UNIT 6: Digital Link Design, Modern applications of Digital Communications 2(L)

22
Text/Reference Books:
❖ Communication Systems, 5th Edition, John Wiley & Sons, 2009– Simon Haykin
❖ Digital Communications, 5th Edition, McGraw Hill Publication,2008 – John G. Proakis, Masoud
Salehi
❖ Modern Digital and Analog Communication Systems”, 3rd edition, Oxford University Press,
1998- B.P. Lathi
❖ B. Sklar, Digital Communications: Fundamentals & Applications, 2nd ed., Prentice Hall, 2001.

ANTENNA AND WAVE PROPAGATION (EC-14103)

Course Outcomes:
On successful completion of the course students will be able to:

• Learn the basic parameters of an antenna and its radiation mechanism


• Understand the design and analyze of various wire antenna, and antenna arrays
• Understand the design and analyze of various broadband and planar antennas
• Learn the concept of aperture as well as high gain reflector antennas
• Express the basic concepts of ground, space, sky wave propagation mechanism

UNIT 1: Electromagnetic Radiation and Antenna Fundamentals- Review of Maxwell’s equations: Retarded
vector potential, Solution of wave equation in retarded case, Concept of radiation, Antenna equivalent circuits,
Antenna characteristics: Radiation pattern, Beam solid angle, Radiation intensity, Directivity, Gain, Input
impedance, Polarization, Bandwidth, Effective aperture, Antenna effective height, Antenna temperature. 6(L)

UNIT 2: Wire Antenna and Antenna Arrays-Wire antennas: Hertzian dipole, short dipole, Radiation resistance
and Directivity, Half wave Dipole, Monopole, Small loop antennas. Antenna Arrays: Linear Array and Pattern
Multiplication, Two-element Array, Uniform Array, Array with non-uniform Excitation, Binomial Array. 6(L)

UNIT 3: Special and Broad band Antennas-Special Antennas: Long wire, V and Rhombic Antenna, Yagi-Uda
Antenna, Turnstile Antenna, Helical Antenna- Axial and Normal mode helix, Bi- conical Antenna, Frequency
Independent Antenna, Log periodic Dipole Array, Spiral Antenna, Microstrip. 6(L)

UNIT 4: Aperture Antennas- Aperture Antennas: Slot antenna, Horn Antenna, Pyramidal Horn Antenna,
Reflector Antenna- Flat reflector, Corner Reflector, Common curved reflector shapes, parabolic reflector, Lens
Antenna, Patch Antennas. 6(L)

UNIT 5: Radio Wave Propagation- Ground Wave Propagation, Free-space Propagation, Ground Reflection,
Surface waves, Diffraction, Wave propagation in complex Environments, Tropospheric Propagation, Space
waves, Ionosphere propagation: Structure of ionosphere, Skywaves, Skip distance, Virtual height, Critical
frequency, MUF, Electrical properties of ionosphere, Effects of earth’s magnetic fields, Faraday rotation. 6(L)

UNIT 6: Modern Antennas- Phase Array Antennas, Smart Antennas for Mobile Communication, MIMO
Antennas for 5G Communication System, Reconfigurable Antenna 6(L)
Text/Reference Books:
❖ John D. Kraus, “Antenna and Wave Propagation”, Tata McGraw-Hill, 5th Edition, 2017
❖ Balanis, C.A., “Antenna Theory and Design”, 4th Ed., John Wiley & Sons., 2021
❖ Stutzman, W.L. and Thiele, H.A., “Antenna Theory and Design”, 3rd Edition, John Wiley & Sons.,
2012
❖ E.C. Jordan and Balmain, “Electromagnetic waves and Radiating Systems”, Pearson Education,
2nd Edition, 2015

23
Microcontrollers and Embedded Systems (EC-14104)

Course Outcomes:
On successful completion of the course, the students will be able to:

• Learn & understand required features and design parameters of Embedded Systems.
• Understand architectural features of AVR microcontrollers and development of application programs in C.
• Understand interfacing of I/O devices with microcontrollers.
• Understand and design small scale embedded system.

UNIT 1: Introduction, categorization of Embedded systems, exemplary systems, selection of processor and memory for
Embedded system. 4(L)

UNIT 2: Evolution of AVR family versions and features, AVR architecture, data types, stack, introduction to assembly
language, AVR programming in C. 8(L)

UNIT 3: AVR (ATmega32) I/O ports and their configuration, introduction to timer/counter operation, AVR timer
programming in C, interrupts in AVR, ATmega32 programming in C, AVR serial port, programming ATmega32 serial
port in C, interrupt driven data transfer through serial port of ATmega32. 8(L)

UNIT 4: ADC, DAC and sensor interfacing in AVR, PWM programming, DC motor control, stepper motor interfacing,
RTC interfacing. 8(L)

UNIT 5: Introduction to Arduino UNO R3 (ATmega328P), working with Arduino IDE, configuring digital and analog
pins, introduction to Raspberry Pi 4, uses of Raspberry Pi 4 in word processing, internet browsing etc. 8(L)

Text Books:
❖ Muhammad Ali Mazidi, Sarmad Naimi, Sepehr Naimi, The AVR Microcontroller and Embedded Systems
using Assembly and C, Pearson Education.

Reference Books:
❖ Raj Kamal, Embedded System Architecture, Programming and Design, 2nd Ed, Tata McGraw Hill.
❖ Myke Predko, Handbook of Microcontrollers –Tab Books/McGraw Hill.
❖ L. B. Das, Embedded Systems: An Integrated Approach, Pearson Education.

DIGITAL COMMUNICATION LAB

Experiments to be implemented on Bread Board:


Experiment 1: To implement Binary Amplitude Shift Keying (BASK) modulation and demodulation

Experiment 2: To implement Binary Frequency Shift Keying (BFSK) modulation and demodulation

Experiment 3: To implement Binary Phase Shift Keying (BPSK) modulation and demodulation

Experiment 4: To study and implement Digital Phase Detector and to detect the phase difference between two
sinusoidal waves.

Experiments to be implemented on MATLAB/ SYSTEMVIEW:


Experiment 5: BER Performance of BASK in AWGN

Experiment 6: BER Performance of BFSK in AWGN

Experiment 7: BER Performance of BPSK in AWGN

24
Experiment 8: DVB-S.2 Link including LDPC Coding in MATLAB Simulink

Experiment 9: To generate a bit error rate versus Eb/No curve for a link that uses 16-QAM modulation and a
rate 2/3 convolutional code in AWGN

Experiment 10: Transmit and receive standard and shortened RS-encoded, 64-QAM-modulated data through
an AWGN channel. Compare the performance of the standard and shortened codes.

MICROCONTROLLER AND SIMULATION LAB

Outcomes:
On successful completion of the experiments in this Lab, the students will be able to:

• Learn and understand simulation with Verilog.


• Simulate a variety of digital devices.
• Understand Microcontrollers programming.
• Understand and design small scale applications using microcontroller kit.

Verilog Simulation and Verification with FPGA Kit


Experiment 1: Verilog simulation of Multiplexers in combinational logic design.

Experiment 2: Verilog simulation of Decoder and Demultiplexer.

Experiment 3: Verilog simulation of random-access memory

Experiment 4: Designing with D-Flip flops: Shift Register and Sequence counter using Verilog

Experiment 5: Sequential Circuit Design: Counter with inputs using Verilog.

Microcontroller Lab
Experiment 1: Verification of various addressing modes, Manipulating register banks, data transfer
between internal RAM and code memory.

Experiment 2: Different basic application programs like sorting, code conversion etc.

Experiment 3: Interfacing key boards and displays.

Experiment 4: Interfacing ADC and DAC.

Experiment 5: Interrupt driven serial port communication.

Experiment 6: Mini Project using Arduino.

25
Courses offered by ECED in Fifth Semester B Tech ECE
Course Code Course name L T P Credit
EC-15101 Digital Signal Processing* 3 1 2 5
EC-15102 Computer Architecture 3 0 0 3
EC-15103 Data Communication Networks 3 0 0 3
EC-15104 Electronic Circuit Design* 3 1 2 5
EC-15105 Optical Communication 3 0 0 3
EC-15106 VLSI Design* 3 0 2 4
EC-15301,15302,15401,15402,15501, Elective-I 3 0 0 3
15502
Total 21 2 6 26

* Theory and Lab Course


Details of all Elective Courses (Elective I, II, III, IV and V) are available at one place.

DIGITAL SIGNAL PROCESSING (EC-15101)

Course outcomes:
On successful completion of the course, the students will be able to:

• Analyze the discrete-time systems in both time and frequency domains.


• Analyze the discrete-time signals and systems using DFT and FFT.
• Design and implement IIR and FIR filters.
• Implement digital filter structures and analyze the effects of finite word length.
• Understand and develop Multirate digital signal processing systems.
• Understand the concept of Adaptive signal processing.

UNIT 1: Introduction, Overview of Digital signal processing, review of signals and systems, review of Z-
transforms, Inverse Z-transform, pole zero plot, Power series expansion and partial fraction expansion, one sided
Z-transform and its properties, initial value and final value theorems, analysis and characterization of LTI
systems using Z-Transforms. 6(L)

UNIT 2: DISCRETE FOURIER TRANSFORM: Review of Discrete Fourier Series (DFS) and Discrete-time
Fourier Transform (DTFT), Discrete Fourier Transform (DFT) and its properties, relationship with other
transforms, Computation of DFT of long data sequences (Overlap and Add method, Overlap and Save method),
Fast Fourier Transform (DIT FFT and DIF FFT) algorithms, linear filtering using DFT, applications of DFT
6(L)
UNIT 3: REALIZATION OF DIGITAL LINEAR SYSTEMS: Introduction, basic realization, block diagram
representation and signal flow graph, different structures for IIR and FIR systems. 4(L)

UNIT 4: INFINITE IMPULSE RESPONSE (IIR) AND FINITE IMPULSE RESPONSE (FIR) FILTERS:
Introduction to IIR filters, filter design by approximation of derivatives, impulse invariance method, bilinear
transformation, design of Butterworth, Chebyshev filters, frequency transformation, Introduction to FIR filters,
frequency response of linear phase FIR filters, various design techniques of FIR filters. 10(L)

UNIT 5: EFFECT OF FINITE WORDLENGTH IN DIGITAL FILTERS: Introduction, rounding and truncation
errors, quantization effects in analog to digital conversion of signals, limit cycle oscillations. 4(L)

UNIT 6: MULTIRATE DSP AND ADAPTIVE SIGNAL PROCESSING: Introduction, sampling rate
conversion, filter structures, polyphase decomposition, introduction to adaptive signal processing, adaptive filter
structures, minimum MSE criterion, application examples. 6(L)

Text Books:
❖ A.V. Oppenheim and R.W. Schafer, Digital Signal Processing, Pearson.
❖ J. G. Proakis and D. G. Manolakis, Digital Signal Processing: Principles, Algorithms and
Applications, 3rd edition, PHI.
26
❖ R. E. Crochiere and L. R. Rabiner, Multirate Digital Signal Processing, 1st edition, Pearson.
❖ B. Widrow and S. D. Sterns, Adaptive Signal Processing, Pearson Education, 2nd Indian reprint.

Reference Books:
❖ A.V. Oppenheim and R.W. Schafer, Discrete-time Signal Processing, 3rd edition, Pearson.
❖ A.V. Oppenheim, A.S. Willsky, and I.T. Young, Signals and Systems, PHI.

COMPUTER ARCHITECTURE (EC-15102)

Course Outcomes:
On successful completion of the course, the students will be able to:

• Design processing unit and control unit of a computer system.


• Analyze the addressing mode concepts and design the instruction set architecture.
• Design high speed fixed-point and floating-point arithmetic circuits.
• Understand memory hierarchy and memory management.
• Understand basic concepts of pipeline and parallel processing.

UNIT 1: CENTRAL PROCESSING UNIT: Fundamental concepts, ALU, CPU architecture, design of
arithmetic logic circuits, bus architecture, instruction format, instruction set architecture, addressing modes,
instruction cycles. 8(L)

UNIT 2: CONTROL UNIT: Design methodology (processor level, register level and gate level design), register
transfer and micro-operations, hardwired and micro programmed control unit design concept,
microprogramming. 6(L)

UNIT 3: MEMORY: Memory hierarchy, performance characteristics, main memory organization, cache
memory organization, mapping, and replacement algorithms, associative memory, concept of virtual memory,
address Translation. 10(L)

UNIT 4: ARITHMETIC: Fixed point arithmetic, Design and implementation of fast adders and multipliers,
division algorithms, IEEE standard for floating point numbers, floating point arithmetic. 4(L)

UNIT 5: I/O ORGANIZATION: Accessing I/O devices, interrupts, DMA, I/O interfaces- serial port, parallel
port, PCI bus, SCSI bus, USB bus, firewall. 4(L)

UNIT 6: Introduction of parallel processing and pipeline processing. 4(L)

Text Books:
❖ Mano Morris, “Computer system Architecture”, PHI.
❖ John P. Hayes, “Computer Architecture and Organization”, McGraw Hill.

Reference Books:
❖ Hamacher, “Computer Organization”, McGraw Hill.
❖ Tennenbaum, “Structured Computer Organization”, PHI.
❖ B. Ram, “Computer Fundamentals architecture and organization”, New age international.
❖ Gear C. W., “Computer Organization and Programming”, McGraw Hill.
❖ William Stalling, “Computer Organization and Architecture”, PHI fourth edition.

27
DATA COMMUNICATION AND NETWORKS (EC-15103)

Course Outcomes:
On successful completion of the course, the students will be able:

• To learn OSI and TCP/IP models and review of Physical Layer concepts.
• To understand the basic concepts of framing, flow and error control.
• To learn different MAC protocols and IEEE standards for LAN, MAN and WAN.
• To comprehend the basic concepts of IP addressing and routing.
• To understand the basic concepts of process to process delivery and Quality of Service.
• To explore some of the recent trends in data communication and networks.

UNIT 1: Introduction to Data Communication And Networking Concepts, Networks 6(L)


Topologies, Layered Network Protocol Architectures, Network Types, Performance
Parameters; Wired and Wireless media, Basic Concept of Switching and Switching
Architecture, Introduction to ATM Reference Model and ATM Networks.

UNIT 2: Data Link Control: Framing, Flow and Error Control, Reliable transmission and 6(L)
Automatic Repeat Request (ARQ) protocols including Stop-and-Wait, Go-back-N, and
Selective Repeat. Performance analysis of ARQ protocols. Example protocols such as
HDLC and PPP

UNIT 3: Medium Access Control: Random Access and Controlled Access Protocols, Aloha, 8(L)
CSMA, CSMA/CD, CSMA/CA, Hidden and Exposed Terminal Problem, Ethernet
(IEEE 802.3), Wireless LAN (IEEE 802.11), DCF and PCF, MAC Frame, Introduction
to IEEE 802.15 (WPAN) and IEEE 802.16 (WMAN).

UNIT 4: Networks Layer: Logical Addressing, IPv4 and IPv6, ARP, DHCP, ICMP, Subnetting, 8(L)
Classless addressing, Network Address Translation, Routing Protocols: Distance-
Vector and Link-State, Interior and Exterior Gateway Protocol concepts, Dijkstra's
algorithm, Bellman-Ford algorithm, Example protocols: OSPF, RIP, BGP.

UNIT 5: Transport Layer: Process to Process Delivery, UDP and TCP, Connection 4(L)
Establishment and Termination, Sliding Window, Flow and Congestion Control,
Timers, Retransmission, Quality of Service.

UNIT 6: Introduction to latest protocols and standards. 4(L)

Text/Reference Books:
❖ Kurose and Ross, "Computer Networking - A top-down approach", Seventh Edition,
Pearson, 2017.
❖ Andrew S. Tanenbaum, "Computer Networks", Fifth Edition, Pearson Education India,
2013.
❖ Peterson and Davie, "Computer Networks, A Systems Approach'', 5th ed., Elsevier, 2011.
❖ Behrouza A. Forouzan, “Data Communications and Networking”, Fourth Edition, TMH,
2017.
❖ William Stallings, Data and Computer Communications, Tenth Edition, Prentice Hall,
2017.
❖ Web resources

28
ELECTRONIC CIRCUIT DESIGN (EC-15104)

Course Outcomes:

On successful completion of the course students will be able to understand:


• Quantitative and Qualitative Analysis of signal stage amplifier and their frequency responses, IC
biasing and current mirrors circuits.
• Quantitative and Qualitative Analysis of differential amplifiers circuits and multistage amplifier.
• Internal architecture of Operational amplifiers and its application in signal generation and
processing,
• Basic operation and analysis of active filters.
• Operation and application of PLL.

UNIT 1: Single Stage Integrated Circuit Amplifiers: IC Biasing (Current Source, Current Mirrors and Current–
Steering circuit), Common source and Common Emitter Amplifier with active load, Common Gate and
Common Base amplifier with active load, Cascade amplifier. CMOS Current mirror, CS, CD & CG Amplifier
& their frequency response, Bipolar Current mirrors. 10(L)

UNIT 2: Differential and Multistage Amplifier: BJT Differential Pair, Block diagram of MOS Differential Pair,
Differential Amplifier with active load, Multistage Amplifier 5(L)

UNIT 3: Operational Amplifier and its Applications: Internal Architecture, Bipolar Op-Amp circuit, CMOS
operational amplifier circuit, Stability and Frequency compensation of op-amp, Measurement of OP Amp
parameter, Frequency response and compensation, MOS based Inverting and Non inverting amplifier,
Difference amplifier. 10(L)

UNIT 4: Active Filter Circuit: Comparison of active and passive filter, Design of Low pass, High pass, Band
pass, band stop and all pass active filter, Switched Capacitor Circuits: Basic building blocks, Basic operation
and analysis, Bi-quad filter, Switched capacitor filter. 8(L)

UNIT 5: D/A and A/D Converters, Phase Locked Loop: Basic architecture, PLL operation, and application 3(L)

Text/Reference Books:

❖ Design of Analog CMOS Integrated circuits- Behzad Razavi-TMH.


❖ Digital Integrated circuits- J.M Rabaey- PHI.
❖ CMOS Analog Circuit Design- 2nd Edition – Phillip E. Allen, Douglas R. Holberg – Oxford Uni.
Press.
❖ Analysis and Design of Analog Integrated Circuits- 4th Edition – Gray, Hurst, Lewis, Mayer-
Wiley.
❖ Microelectronic Circuits Theory and Applications- 5th Edition- Adel S. Sedra, Kenneth C. Smith-
Oxford

29
OPTICAL COMMUNICATION (EC-15105)

Course Outcomes:
On completion of the course students will be able to:
• Learn about the basic concepts of Optical Communication
• Learn about the fiber types and their suitability or choice for any application
• Understand the characterization of Optical Sources and Detectors
• Learn about coherent and incoherent optical communication
• Learn about optical losses and Link- design
• Learn about the concepts of Free Space Optical (FSO) communications

UNIT 1: Optical evolution, advantages of optical communication, its representations, Optical waveguides, basic
optical laws, acceptance angle, numerical aperture Skewed rays, Rays and Modes, Step- index, Graded-index
fibers, phase and group velocities, Signal degradation in optical fibers, absorption, scattering Dispersions,
Optical amplifiers. 10(L)

UNIT 2: Optical sources, modulators, transmitted optical fields, optical field expansion, Photo detection
processes, count statistics, photo counting with receiver fields, photo counting with random photo
multiplication, shot noise processes, PSD of shot noise, Coherent and Non-coherent detection, system model,
single mode and multi-mode detection, SNR performance, AM/IM, FM/IM systems, Multiplexed FM/IM
systems, heterodyne SNR. 12(L)

UNIT 3: Optical digital communications, heterodyne ASK, FSK, PSK, Systems, PLL Loop receiver and their
noise performance, Optical Link Design 6(L)

UNIT 4: Introduction to Free Space Optical Communication Systems, FSO-OFDM Transmission and
Reception, Atmospheric Turbulence Channel Modeling, Temporal Correlation FSO Channel Model, LDPC-
Coded IM/DD OFDM for FSO communication, Applications of FSO communications 8(L)

Text/Reference Books:

❖ Optical Fiber Communications, 5th Edition, 2017, McGraw Hill Education- Gerd Keiser
❖ Optical Fiber Communications, 3rd Edition,2009, Prentice Hall -John M Senior, Yousif
Jamro
❖ Optical Fiber Communications Principles and Practice -Robert. Gagliardi, Sherman Karp
❖ OFDM for Optical Communications, 2010 Elsevier Inc. Academic Press, by William
Shieh, Ivan Djordjevic

30
VLSI DESIGN (EC15106)

Course Outcomes:

On successful completion of the course students will be able to:


• Understand the fundamental of MOSFET scaling and short channel effects.
• Introduce the basic of physical VLSI Design and design rules.
• Understand the basic operation of MOS Inverter and their static characteristic.
• Analyse the switching characteristic of the MOS Inverter and the effect of interconnects.
• Understand the Qualitative study of Combinational and Sequential MOS Logic circuits.
• Understand the concept of dynamic logic circuits.
• Understand the fundamental of test and testability for VLSI.

UNIT 1: Scaling: constant voltage and constant field scaling, scaling factors for device parameter, short channel
effects. 2(L)

UNIT 2: Physical VLSI Design: Y-chart, Design rules, stick diagram, layout design strategies and Methods,
Design Capture & Verification tool, DRC, LVS, Parasitic extraction. 3(L)

UNIT 3: MOS Inverters: static characteristics – Resistive load inverters, inverters with n type MOSFET load,
CMOS inverter.
Switching characteristic of MOS inverters and interconnect effect: delay time, inverter design with delay
constraints, CMOS ring oscillator, interconnect parasitic and delay, switching power dissipation of CMOS
inverter. 10(L)

UNIT 4: Combinational MOS Logic Circuits: Two-input NOR, NAND gate, structure of multiple input NOR
gate, NAND gate, CMOS based 2 input NAND and NOR gate, Complex logic circuit using NMOS and CMOS,
CMOS transmission gate, complementary pass- transistor logic.
Sequential MOS logic circuit: SR latch circuit, clock SR latch, clock JK, Master Slave JK, D flip flop 10(L)

UNIT 5: Dynamic Logic Circuit: Pass Transistor circuit, charge storage and charge leakage, voltage
Bootstrapping, CMOS transmission gate logic, Dynamic CMOS logic, Domino CMOS logic, NORA CMOS
logic. 6(L)

UNIT 6: Memories: – DRAM, SRAM, Advanced RAM techniques, Applications.


Testability: Test principle, DFT principle, design strategies, IDDQ testing. 5(L)

Text/Reference Books:

❖ Principles of CMOS VLSI Design – By ‘Neil H.E Weste & Kamran Eshraghian’
❖ Essentials of VLSI circuits and systems- By ‘Kamran Eshraghian, D.A. Pucknell & S.
Eshraghian”.
❖ CMOS Digital IC’s analysis and design- By ‘Sung-Mo-Kang & Yusuf Leblebici”, TMH

31
DIGITAL SIGNAL PROCESSING (LAB)
Outcomes:
On successful completion of the experiments in this lab, the students will be able to:

• Understand the experimental concepts of DSP and their implementation using MATLAB software.
• Learn the generation of basic signals and calculation of response of DSP systems.
• Carry out the simulation of multiple numerical transforms relating time and frequency in DSP.
• To learn DSP Processor-based implementation of different DSP systems.

Experiment 1: Plot the following sequences:


(a) Unit sample (b) Unit step (c) Ramp (d) Exponential (e) Sine (f) Cosine.
Also, downsample each of the above sequences and plot.

Experiment 2: Write a MATLAB program to perform linear convolution of two sequences x(n) and h(n).
Also verify the result using inbuilt functions.

Experiment 3: Write a MATLAB program to perform circular convolution of two sequences x(n) and
h(n). Also verify the result using inbuilt functions.

Experiment 4: Write a MATLAB program to perform cross correlation between two sequences x(n) and
h(n). Also verify the result using inbuilt functions.

Experiment 5: Write a MATLAB program to compute DFT and IDFT of a given sequence.

Experiment 6: Develop an efficient program to scan the elements, of any given 8X8 matrix, in zig–zag
order (i.e., from 0 to 63 in order)

Experiment 7: Write a MATLAB program to generate Gaussian numbers with given mean and
variance. Plot the PDF of the generated numbers.

Experiment 8: Design a FIR low-pass filter with given specifications and verify the magnitude, phase
and impulse responses using FDA toolbox.

Experiment 9: Design a IIR low-pass Butterworth filter with following specifications and verify the
magnitude, phase and impulse responses using FDA toolbox.

Experiment 10: Write a MATLAB program to perform linear convolution of two sequences using
overlap and add method.

Experiment 11: Experiments on TMS320C6713 DSK DSP Kit


(a) Design and conduct IIR low-pass and high-pass filters
(b) Design and conduct FIR low-pass and high-pass filters
(c) Generation of different wave forms (Square, Saw tooth and Sinusoidal)

32
ELECTRONIC CIRCUIT DESIGN (LAB)

Experiment 1: To design a comparator circuit and study the non-linear applications of Op-Amp.

Experiment 2: To design and test the Schmitt Trigger for the given UTP and LTP using IC 741 Op-
Amp

Experiment 3: To design a circuit and study the following waveform generators using IC 741 Op-
Amp.
(a) Sine wave generator.
(b) Square wave generator.
(c) Triangular wave generator. (d) Sawtooth wave Generator.

Experiment 4: To design and test the following circuits using IC-555


(a) Astable Multivibrator
(b) Monostable Multivibrator
(c) Voltage to Frequency converter
(d) Schmitt Trigger

Experiment 5: To design Voltage Limiter circuit and Precision rectifier using IC741 Op-Amp

Experiment 6: To design and study the circuit of a voltage to frequency converter using IC741Op-
Amp

Experiment 7: To design and study the performance of an Instrumentation amplifier

Experiment 8: To design an integrator and differentiator using IC 741 Op-Amp

Experiment 9: To design a band pass filter and notch filter using IC 741 Op-Amp

Experiment 10: To design and test a Second order low pass filter and high pass filter using IC 741 Op-
Amp

33
VLSI DESIGN LAB

Experiment 1: To write a hardware description of 4-bit adder and subtractor and test its operation

Experiment 2: To write a hardware description of Degree to radian converter

Experiment 3: To write a hardware description of 4-bit mod 13 counter and test its operation

Experiment 4: To write a hardware description of 8-bit register with shift left and shift right
operationand test its operation

Experiment 5: To write a hardware description of 4-bit array Multiplier

Experiment 6: To write a hardware description of Booth Multiplier

Experiment 7: To Design NOT, NOR, NAND gates using MENTOR GRAPHICS and compute
thedelay between input and output waveforms

Experiment 8: To Design 2:1 MUX using MENTOR GRAPHICS and compute the delay
betweeninput and output waveforms

Experiment 9: To Design XOR, NOR, NAND gates using MENTOR GRAPHICS and compute the
delay between input and output waveforms and compare the difference between CMOS and pseudo
technique.

Experiment 10: To Design XOR gate using CMOS and pseudo NMOS technique using MENTOR
GRAPHICS and compute the delay between input and output waveforms and compare them.

Experiment 11: To Design and simulate D-FLIP FLOP as a MASTER-SLAVE configuration using
MENTOR GRAPHICS

Experiment 12: To Design Ring Oscillator using MENTOR GRAPHICS and compute the
delay between input and output waveforms.

34
PROFESSIONAL ELECTIVE-I

Wing: Communication

MODERN RADAR SYSTEMS (EC 15301)

Course Outcomes:

After the completion of the course, the students will be able to:
• Understand radar System principle and fundamentals
• Explain the basic concepts of RCS and radar wave propagation
• Analyze and differentiate between different radar types
• Understand target detection and tracking using radar systems
• Analyze the imaging radar concepts and its applications

UNIT 1: Basics of Radar detection and estimation: Radar frequencies and bands, Radar Applications, Radar
block diagram. Radar Range Equation: Range prediction, Maximum Unambiguous Range, Pulse Repetition
frequency and Range Ambiguities, Radar Waveforms, Minimum detectable signal. 6(L)

UNIT 2: Radar signals in noise: Threshold detection of radar signals, Receiver noise, SNR, Integration of radar
pulse, CFAR detection, Radar cross section of targets, RCS fluctuations, Transmitter Power, System losses,
Propagation of Radar Waves, Atmospheric Refraction, Standard propagation, Nonstandard Propagation, Radar
clutter 6(L)

UNIT 3: MTI and Pulse doppler Radar: MTI delay lines, Doppler frequency shift, Delay Line Cancellers,
Coherent and Non -Coherent MTI, Staggered Pulse Repetition Frequencies, Doppler Filter Banks, Moving
Target Detector, Digital MTI Processing 6(L)

UNIT 4: CW FM Radar: Doppler effect, CW Radar, Frequency-modulated CW Radar, Multiple-frequency CW


Radar. Tracking radar: Monopulse-amplitude & phase comparison, Sequential Lobing, Conical Scan,
Limitations to Tracking Accuracy, Low-Angle Tracking, Tracking in Range. 6(L)

UNIT 5: Phased array and Imaging Radar: Phase array working and feed systems, beamforming, Synthetic
Aperture Processing, Resolution Concept, Pulse Compression, ISAR Imaging, Radar based Microwave &
millimeter wave Imaging: Ground penetrating radar, Through wall imaging, standoff target detection and
identification 6(L)

UNIT 6: Radar Topography: Passive radar, active radar, SDR, MIMO, UWB, drone detection radar, LiDAR,
Advanced Radar Systems for Meteorology and Remote sensing applications 6(L)

Text/Reference Books:

❖ Introduction to Radar Systems - Merrill. I. Skolnik, Publisher: McGraw Hill Education; 3rd edition
(2017)
❖ Radar – principles, technology, applications – Byron Edde, Publisher: Prentice Hall; 1st edition (1992)
❖ Microwave Imaging - Matteo Pastorino, Publisher: John Wiley & Sons, First edition (2010)
❖ Radar Foundations for Imaging and Advanced Concepts - R. J. Sullivan, Publisher: SciTech Publishing
Inc; Revised ed. edition (2004)
❖ Fundamental of Radar Signal Processing - M. A. Richards, Publisher: McGraw-Hill Education; 2nd
edition (2014)
❖ Modern Radar System -Meikle Hamish, Second Edition, Publisher: Artech House, (2001).

35
MULTIMEDIA COMMUNICATION (EC 15302)

Course Outcomes:

• To understand the types and representations of multimedia information.


• To learn compression techniques for multimedia contents (Text, audio, video)
• To study and understand the standards and types of multimedia networks
• To learn about tools and devices for multimedia content development
• To understand the technical and operational aspects of emerging and futuristic multimedia applications

UNIT 1: Multimedia Communications- Introduction, information representation, networks, Applications and


networking terminology, multimedia Information Representation-text, images, audio, video 4(L)

UNIT 2: Text compression – Huffman coding, Arithmetic coding, LZ coding. Image compression- JPEG,
Wavelet based image compression. 4(L)

UNIT 3: Audio and Video compression- Linear predictive coding, perceptual coding, MPEG audio coder. -
Video Compression Video compression principle, H.261, H.263, MPEG video coders. 8(L)

UNIT 4: Standards for Multimedia Communications- reference models, network services and protocols,
interpersonal communication. Interactive applications over internet. Multimedia conferencing, streaming, and
interactive broadcasting, multimedia communication over next generation wireless network. 8(L)

UNIT 5: Interactive Multimedia devices & content development– video, animation, infographic , interactive
multimedia devices – interactive smart board, video conferencing system, video streaming devices, etc. 6(L)

UNIT 6: Emerging Multimedia applications- digital classrooms, telemedicine, e-governance, social


networks, video-on-demand, OTT (Over The Top) platform, interactive television, etc. 6(L)

Text/Reference Books:

❖ Fred Halsall/ Multimedia communications: Applications, Networks, Protocols and standards/ Pearson
Education, Asia. Fourth Impression, 2009
❖ Jean Walrand & Pravin Varaiya/ High Performance communication Networks, Morgan Kaufmann Publisher, 2nd
Edition.
❖ Krishna Kumar D.N. / Multimedia Communication/ Pearson Education, 1st Edition
❖ Ashok Banerji and Anand Mohan Ghosh, Multimedia Technologies, TMH, 2010, 1st Edition.
❖ Wireless Multimedia Communication Systems: Design, Analysis, and Implementation 1st Edition (2014),
by K.R. Rao , Zoran S. Bojkovic, CRC Press.

36
Wing: Signal Processing

ADVANCED COMPUTER ARCHITECTURE (EC 15401)

Course outcomes:
On successful completion of the course, the students will be able to:

• Measure performance parameter for computer design and system attributes.


• Learn about conditions for parallelism, hardware and software parallelism, different type of data, resource
and control dependencies.
• Understand classification of parallel computer, multiprocessor system like distributed and shared memory
architecture, different types of interconnection networks.
• Understand the concepts of pipelining, different types of pipelined architecture, optimization and
mechanism of instruction pipelining, Hazard and its avoidance.
• Understand the concepts of memory hierarchy and managements, concepts of shared memory in
multiprocessor system.
• Understand the basic concepts of RISC, CISC, super scalar and vector processing.

UNIT 1: PARALLEL PROCESSING: Necessity of high performance, constraints of conventional architecture,


parallelism in uniprocessor system, evolution of parallel processors, future trends, architectural classification,
applications of parallel processing, programming and networking properties, principles of scalable performance,
conditions of parallelism, data and resource dependences, hardware and software parallelism, program
partitioning and scheduling, grain size and latency, program flow mechanisms, control flow versus data flow,
data flow architecture. 8(L)

UNIT 2: PIPELINING: Linear pipeline processor, nonlinear pipeline processor, instruction pipeline design,
mechanisms for instruction pipelining, pipeline hazards, dynamic instruction scheduling, branch handling
techniques, arithmetic pipeline design, static arithmetic pipeline, multifunctional arithmetic pipelines. 8(L)

UNIT 3: PROCESSORS TECHNOLOGY: Advanced processor technology, instruction-set architectures, CISC


scalar processors, RISC scalar processors, superscalar processors, VLIW architectures, vector processing
principles, vector instruction types, vector-access memory schemes, CRY-1 architecture. 8(L)

UNIT 4: MEMORY TECHNOLOGY: Hierarchical memory technology, inclusion, coherence and locality,
memory capacity planning, virtual memory technology, memory interleaving. 6(L)

UNIT 5: SYSTEM INTERCONNECT ARCHITECTURES: Network properties and routing, static


interconnection networks, dynamic interconnection Networks, multiprocessor system interconnects,
hierarchical bus systems, crossbar switch and multiport memory, multistage and combining network. 6(L)

Text Books:
❖ Kai Hwang, “Advanced computer architecture”, TMH.
❖ Hwan and Briggs, “Computer Architecture and Parallel Processing”, MGH.

Reference Books:
❖ Hennessy and Patterson, “Computer Architecture: A Quantitative Approach”, 3rd edition,
Morgan Kauffmann
❖ Harvey G. Cragon, “Memory System and Pipelined processors”, Narosa Publication.
❖ V. Rajaranam & C.S.R. Murthy, “Parallel computer”, PHI.
❖ R K Ghose, Rajan Moona & Phalguni Gupta, “Foundation of Parallel Processing”, Narosa
Publications.
❖ Kai Hwang and Zu, “Scalable Parallel Computers Architecture”, MGH.

37
ADVANCED MICROCONTROLLERS (EC 15402)

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand architectural features of ARM Architecture and development of application programs in


Assembly language & C language.
• Understand interfacing of I/O devices with ARM.
• Understand and design small-scale embedded systems.

UNIT 1: ARM ARCHITECTURE: Evolution of ARM Architecture, 32-Bit Cortex-M Architecture, CPU
architecture, memory model, MPU, registers, modes, exceptions, interrupts and vector table, core extensions,
architecture revisions, exception handlers, interrupt controllers, power modes, hardware features and
optimizations, advanced bus standards like AMBA, The NVIC on ARM Cortex-M, LPC2148 Microcontroller
architecture. 12(L)
UNIT 2: ARM INSTRUCTION SET: Syntax, addressing modes and operands, memory access instructions,
operations, shift operations, arithmetic operations, stack logical, functions and control flow, assembler
directives, thumb and arm instruction differences. 8(L)
UNIT 3: PERIPHERALS PROGRAMMING: Assembly language and C programming of timers, interrupts,
serial port. UART, I2C, PWM, DAC, ADC and other important on-chip peripherals. 8(L)
UNIT 4: INTERFACING: Interfacing sensors, actuators, GPIO, LED, 7 segment display, stepper motor,
keyboard, push button switch, data Conversions (ADC, DAC), timer. 8(L)

Text Books:
❖ Mazidi, Muhammad Ali, Sarmad Naimi, Sepehr Naimi, and Shujen Chen. “ARM Assembly
Language Programming & Architecture (Volume 1)”, 2016.

Reference Books:
❖ Yiu J. The definitive guide to the ARM Cortex-M3/M4 Processors. Newnes; 2009 Nov 19.
❖ Valvano, Jonathan W. Embedded Systems: Real-Time Interfacing to Arm® Cortex (TM)-M
Microcontrollers. Jonathan W. Valvano, 2012.

Wing: VLSI

ADVANCED ANALOG DESIGN (EC15501)

UNIT-1: Noise
Statistical characteristics of Noise, Types of Noise, Representation of Noise in circuits, Noise in single-stage
amplifiers, Noise in differential pairs, Noise bandwidth 5(L)

UNIT-2: Feedback
Introduction and Properties of Feedback circuits, Types of Amplifiers, Feedback Topologies- Voltage-Voltage
Feedback, Current-Voltage Feedback, Voltage-Current Feedback, Current-Current Feedback, Effect of
Loading, Effect of feedback on noise 7(L)

UNIT-3: Operational Amplifiers

38
Introduction and Performance parameters, One-stage Op Amps, Two-stage Op Amps, Gain Boosting,
Common mode feedback, Slew Rate, Power supply rejection, Noise in Op Amps 6(L)

UNIT-4: Stability and Frequency Compensation


General considerations, Multipole systems, Phase margin, Frequency compensation, Compensation of two-
stage Op Amps, Other compensation techniques 6(L)

UNIT-5: Introduction to Switched-Capacitor Circuits


General considerations, Sampling switches, Switched-capacitor Amplifiers, Switched-capacitor Integrator,
Switched-capacitor common mode feedback 6(L)

UNIT-6: Oscillators
Ring Oscillators, LC Oscillators, Voltage-Controlled Oscillators, Mathematical Model of VCOs, Phase-locked
loop 6(L)

Text/Reference Books:

❖ Design of Analog CMOS Integrated Circuits- by ‘Behzad Razavi’, TMH


❖ CMOS Analog Circuit Design- By ‘Douglas R. Holberg and Phillip E. Allen’, Oxford University
Press

MEMS AND INTEGRATED SENSORS (EC15502)

Course Outcomes:
On successful completion of the course students will be able to:

• Apply the principles behind the operation of MEMS devices


• Select micro manufacturing methods and identify key variables to improve quality of MEMS.
• Design and fabricate MEMS devices or a microsystem
• Understand traditional and recent advancements in the field of MEMS sensors and devices
• Choose appropriate industrially viable process, equipment and tools for a specific product.
• Understand the need of interface electronics and different measurement techniques for sensor
system.

UNIT 1: Introduction to MEMS; MEMS technologies; Applications. 6(L)

UNIT 2: Microelectronic technologies for MEMS, Micromachining- surface and bulk; MEMS
processes 10(L)

UNIT 3: MEMS based sensors, thermal sensors, chemo sensors, optical sensors, pressure sensors, bio
sensors, accelerometer, gyroscope, Flow sensor 12(L)

UNIT 4: Interface electronics and measurement techniques for smart sensor systems, Object oriented
Design of Sensor Systems, Sensing Elements and their Parasitic Effects, Future Trends 8(L)

Text/ Reference Books:


❖ Smart Sensor Systems, Gerard C. M. Meijer, John Wiley and Sons, 2008
❖ Microsystem Design, Stephen D. Senturia, Kluwer Academic Publishers, 2001
❖ Microsensors, MEMS, and Smart Devices, Julian W. Gardner, V. K. Varadan, Osama O.
Awadelkarim John Wiley and Sons

39
Courses offered by ECED in Sixth Semester ECE
Course Code Course name L T P Credit
EC-16101 Digital Image Processing 3 0 2 4
EC-16102 RF and Microwave Engineering 3 0 2 4
EC-16103 Semiconductor Devices and Modeling 3 0 0 3
HS-XXXXX Soft Skills and Personality Development 3 0 0 3
EC-16301, 16302,16401,16402,16501, Elective-II 3 0 0 3
16502
EC-16303,16304,16403,16404,16503, Elective-III 3 0 0 3
16504
Total 18 0 4 20

DIGITAL IMAGE PROCESSING (EC-16101)

Course outcomes:
On successful completion of the course, the students will be able to:

• Introduce the concepts of image processing and basic analytical methods to be used in image processing.
• Understand image transforms and their applications.
• Understand the concept of time-frequency transforms and their applications.
• Explain different image compression techniques.
• Familiarize students with image enhancement and restoration techniques.
• Introduce segmentation techniques.

UNIT 1: INTRODUCTION: Introduction to Digital image processing, fundamental steps, 2-D signals and
systems, Mathematical preliminaries, 2-D sampling theorem, sampling with different geometries, some basic
relationship between pixels. 5(L)

UNIT 2: IMAGE TRANSFORMS: 2-D orthogonal and unitary transforms, basis image, properties of unitary
transforms, 1-D and 2-D discrete Fourier transform and its properties, DCT, DST and their properties, Walsh
Hadamard transform, Harr & Slant transform, KL transform. 6(L)

UNIT 3: WAVELET TRANSFORM AND ITS APPLICATIONS: Review of Multirate signal processing,
short-time Fourier transform, fundamentals of wavelet transform and its application, image analysis using multi-
resolution techniques. 5(L)

UNIT 4: IMAGE DATA COMPRESSION: Image redundancies, lossy and lossless compression, pixel coding,
predictive coding, fidelity criteria, DCT and wavelet-based transform coding schemes, Huffman, run-length and
arithmetic coding, JPEG and JPEG 2000 standards. 6(L)

UNIT 5: IMAGE ENHANCEMENT TECHNIQUES: Spatial domain and frequency domain methods, Gray
scale transformation, histogram matching and equalization, Smoothening: noise removal, averaging, median,
min/max filtering, sharpening of images using differentiation, Laplacian and high emphasis filtering, edge
detection. Image restoration: degradation model, averaging, inverse and Wiener filtering. 8(L)

UNIT 6: IMAGE SEGMENTATION: Line and edge detection, detection of discontinuities, edge labelling and
boundary detection, edge linking using Hough transform, thresholding techniques, image segmentation using
similarities: region growing, split and merge. 6(L)

40
Text Books:
❖ R. C. Gonzalez & Richard E. Woods, Digital Image Processing, 3rd edition, Pearson.
❖ A. K. Jain, Fundamentals of Digital Image Processing, Pearson.

Reference Books:
❖ Sanjit K. Mitra, Digital Signal Processing: A Computer Based Approach, 3e, McGraw Hill.
❖ J. G. Proakis and D. G. Manolakis, Digital Signal Processing: Principles, Algorithms, and
Applications, 3rd edition, PHI.
❖ R. C. Gonzalez & Paul Wintz, Digital Image Processing, Longman Higher Education.
❖ A. Rosenfield & A.C. Kak, Digital Picture Processing, Morgan Kaufmann.
❖ N. Ahmad & K.R. Rao, Orthogonal Transform for Digital Signal Processing, Springer.
❖ A.M. Tekalp, Digital Video Processing, Prentice-Hall.

RF AND MICROWAVE ENGINEERING (EC-16102)

Course Outcomes:
On successful completion of the course students will be able to:

• Understand the classification of Microwave frequency bands and their respective applications.
Student will also understand the change in circuit behavior at higher frequency
• Understand the design simple microwave passive circuits and devices. Student will also
understand the microwave propagation in ferrites
• Demonstrate various operating principles of solid-state microwave devices
• Understand the limitations of conventional tubes at microwave frequency and concept of different
Microwave tubes
• Have the knowledge regarding handling microwave instrument as well as measurement of
different parameter at microwave frequency

UNIT 1: Introduction- Microwave frequencies, Standard Frequency bands, Behavior of circuits at Conventional
and microwave frequencies, Microwave applications. 4 (L)

UNIT 2: Microwave Passive Components- Scattering matrix- Concept of N port Scattering matrix
representation, Properties of S matrix- S matrix formulation of two-port junction. Microwave junctions- Tee
junctions E-Plane Tee, H- Plane Tee and Magic Tee, Rat-race, Corners, bends and twists, Directional couplers-
two-hole directional couplers- Ferrites –important microwave properties and applications, Isolator, Circulator,
Attenuator, Phase shifter. 10 (L)

UNIT 3: Microwave Semiconductor Devices- Principles of operation – characteristics and application of tunnel
diodes, PIN diode and LSA. Transferred Electron Devices – Gunn diode-Avalanche Transit time devices
IMPATT and TRAPAT, Parametric devices - Principles of operation - applications of parametric amplifier.8(L)

UNIT 4: Microwave measurements: Microwave test bench, Measurement of power, wavelength, frequency,
impedance, SWR, attenuation and phase shift, Microwave measurement and applications. 6(L)

UNIT 5: Microwave Link Design of Wireless System: Microwave Networks, Noise and Distortion in
Microwave System, Antenna Design, Filter and Amplifier Design, Receiver Design 8(L)

Text/Reference Books:
❖ Samuel Y. Liao “Microwave Devices and Circuits” PHI, 3rd Edition, 2005
❖ D.M. Pozar, “Microwave Engineering.”, John Wiley & sons, Inc.,4th Edition, 2013
❖ Robert. E. Collin- Foundation of Microwave Engineering–Tata Mc Graw Hill.
❖ Annapurna Das and Sisir K Das, “Microwave Engineering”, Tata Mc Graw-Hill Inc., 3rd Edition, 2017
❖ D M Pozar, “Microwave and RF Design of Wireless Systems”, Wiley Publication, 2nd Edition, 2017

41
SEMICONDUCTOR DEVICES AND MODELING (EC16103)

UNIT 1: Contact Potential, Two terminal MOS, Concept of Flat band Voltage, Potential Balance and Charge
Balance, Effect of Gate-Body Voltage on Surface Condition, Accumulation Depletion and Inversion - Regions
of Inversion - Strong Inversion, Weak Inversion and Moderate Inversion, Small-Signal Capacitance. 10(L)

UNIT 2: Three Terminal MOS, Contacting the Inversion Layer, The Body Effect, Strong Inversion, Weak
Inversion and Moderate Inversion, Approximate Limits of Strong Inversion, Weak Inversion and Moderate
Inversion, Pinchoff voltage, Uses for Three-Terminal MOS Structures References Problems. 7(L)

UNIT3: Four Terminal MOS, Transistor regions of Operations, Complete All-Region Model, Simplified All-
Region Models, Models Based on Quasi-Fermi Potentials, Regions of Inversion in Terms of Terminal Voltages,
Complete Strong-Inversion Model, Body-Referenced Simplified Strong-Inversion Model, Source-Referenced
Simplified Strong-Inversion Model, Special Conditions in Weak Inversion Body and source Referenced Model
for weak inversion Moderate-Inversion Models, Source-Referenced vs. Body-Referenced Modeling, Effective
Mobility, Effect of Extrinsic Source and Drain Series Resistances, Temperature Effects, Breakdown. 13(L)

UNIT 4: Carrier Velocity Saturation, Channel Length Modulation, Charge Sharing, Limitations of Charge-
Sharing Models, Drain-Induced Bather Lowering, Punch through, Hot Carrier Effects; Impact Ionization,
Velocity Overshoot and Ballistic Operation. 6(L)

Text/ Reference Books:

❖ Donald A Neamen, “Semiconductor physics and devices: basic principles McGraw-Hill, 2003.
❖ Yannis Tsividis, Colin McAndrew “Operation and Modeling of the MOS Transistor”, Oxford
University Press, 2011.

42
Professional Elective-II

Wing: Communication

ADVANCED DIGITAL TRANSMISSION (EC 16301)

Course Outcomes:

On successful completion of the course students will be able to:


• Understand basics of communication system and different channel models.
• Understand optimum receiver, synchronization and equalization.
• Learn the basic concepts of channel fading.
• Understand diversity techniques and multiuser communication.

UNIT 1: Multipath fading environment: Rayleigh Fading and Statistical Characterization, Properties of Rayleigh
Distribution, Narrowband vs Wideband Channels, Characterization of Multipath Fading Channels, BER
performance in fading channels. 6(L)

UNIT 2: Diversity techniques for fading multipath channels, Statistical Characterization of Antenna Diversity,
Optimal Diversity Combining, Capacity of fading Channels, Capacity with Outage, Channel State Information,
Optimum Power Allocation. 6(L)

UNIT 3: Foundation for SDR, Components of SDR, SDR architecture, Non-linear distortion parameters,
Behavioral models for representing nonlinear distortions, Linearization Techniques for nonlinear distortion 6(L)

UNIT 4: Introduction to cognitive radio, CR architecture, Dynamic spectrum access, Introduction to spectrum
sensing, spectrum sensing technique. 6(L)

UNIT 5: Details of beam forming in mmWave, Hybrid beam forming concept, MIMO-OFDM with mmWave
beam forming, Parameter estimation in mmWave system, Introduction of impairments and a basic analysis in
mmWave system. 6(L)

UNIT 6: Introduction to Underwater communication, Challenges in Underwater communication, Acoustic


communication, free space optical communication, Magnetic induction communication, Introductions to
Reconfigurable Intelligent surface for 5G and 6G technologies. 6(L)

Text/Reference Books:

❖ John J. Proakis, “Digital communication” 5th edition MGH, 2008


❖ Fundamental of Wireless Communication By David Tse, Pramod Viswanathan, Cambridge University
Press, 2005
❖ Millimeter Wave communication Systems By Kao-Cheng Huang, Zhaocheng Wang, Wiley-IEEE
Press, 1st edition, 2011.

43
SATELLITE COMMUNICATION (EC 16302)

Course Outcomes:
On successful completion of the course students will be able:

• To learn the Satellite Communication Overview and Orbital Mechanics


• To understand the different subsystem of satellite Communication
• To learn the satellite link design and suggest the methods to improve the link performance
• To learn the different Multiple Access and Multiplexing Techniques used for satellite links
• To understand the designing and testing of different satellite communication systems as per given
specifications.
• To understand the satellite launch mechanism and different launch vehicles
UNIT 1: Fundamental of Satellite Communications: Different Frequency bands and their applications in
satellite Communication, Satellite orbit and orbital equations, Kepler’s laws of planetary motion, Locating
satellite in the orbit, Locating satellite with respect to earth, Look angle calculation, coverage angle and slant
range, orbital perturbations, satellite launching, orbital effects in communication subsystem performance,
Mechanism of Satellite launching, Launch Vehicles, Advanced launching tech like Space X 10 (L)

UNIT 2: Satellite link Design: Design Parameters, Link Budget Design, Path Loss Calculations, Look Angles:
Elevation and Azimuth Angle, Transponder: Antenna, LNA, BPF, Down-Converter, HPA, Adjacent Channel
Interference, Input and Output Back Off, Uplink Power Control (UPC), Noise Figure, Figure of Merit (G/T) and
Energy per Bit (Eb/No) 8 (L)

UNIT 3: Satellite Communication Applications: VSAT, Direct broadcast satellite television and radio, Satellite
navigation and the Global positioning systems, GPS position location principle, GPS receivers and codes,
Satellite Signal Acquisition, GPS navigation Message, GPS Signal Levels, Timing Accuracy, GPS Receiver
Operation 8 (L)

Unit 4: Satellite Solutions for 5G: Role of satellite communications in 5G ecosystem, Satellite use cases and
scenarios for 5G eMBB, Next-generation non-geostationary satellite communication systems, Enabling 5G
using MEO satellites 5(L)

Unit 5: Emerging Trends in Satellite Communication: IoT via Satellite, Beam Hopping and Docking, 2nd
Generation Galileo Navigation Satellites, Anti-Satellite (ASAT) and Direct Ascent Anti-Satellite (DA-ASAT)
technologies, Next-generation interceptor 5(L)

Text/Reference Books:
❖ Timothy Pratt and Jeremy Allnutt, “Satellite Communications”, Wiley India, 3rd Edition, 2021
❖ Shree Krishna Sharma, Symeon Chatzinotas and Pantelis-Daniel Arapoglou, “Satellite Communications in
the 5G Era” IET, 1st Edition, 2021
❖ D. Roddy, “Satellite Communications”, McGraw-Hill Education, 4th Edition, 2010.
❖ M. Karimi, “Advances In Satellite Communications”, 1st Edition, ;2016

Wing: Signal Processing

VLSI SIGNAL PROCESSING (EC 16401)

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand VLSI design methodology for signal processing systems.


• Be familiar with VLSI algorithms and architectures for DSP.
44
• Be able to implement basic architectures for DSP.
• Provide fundamental of circuit concept and VLSI optimization
• Qualitative study of VLSI implementation for DFT, digital filters etc. and issue related to VLSI for
signal processing.

UNIT 1: Introduction to Digital Signal Processing, Review of DFT-FFT, Filter structures, errors in digital filter
implementation. Design of FIR and IIR filters. 6(L)

UNIT 2: NUMBER SYSTEMS AND DSP ARCHITECTURES: Number systems, Bit-parallel and Bit-Serial
arithmetic, Basic shift accumulator, Reducing the memory size, Complex multipliers, Improved shift-
accumulator, FFT processor. Programmable Digital Signal Processors, DSP system architectures. 6(L)

UNIT 3: Graphical representation of DSP algorithms, signal flow graph (SFG), data flow graph (DFG) and
dependence graph (DG), high level transformation, critical path, Iteration bound –Retiming of DFG, critical
path minimization by retiming, loop retiming and iteration bound, Cutset retiming, Unfolding – Folding: idea
of unfolding, unfolding theorem, loop unfolding, Parallel realization of DSP algorithms. 8(L)

UNIT 4: Pipelining and Parallel Processing, Pipelining digital filters, look ahead techniques, combining parallel
processing with pipelining in digital filters, Polyphase decomposition of transfer functions, hardware efficient
parallel realization of FIR filters, Hardware minimization by folding, folding formula, examples from digital
filters. 8(L)

UNIT 5: Systolic Architecture Design – Fast Convolution – Algorithmic Strength Reduction in Filters and
Transforms – Pipelined and Parallel Recursive and Adaptive Filters. 4(L)

UNIT 6: Scaling and Round off Noise – Digital Lattice Filter Structures – Bit-Level Arithmetic Architectures
– Redundant Arithmetic – Numerical Strength Reduction – Low- Power Design. 4(L)

Text Books:
❖ Digital Signal Processing: Principles, Algorithms and Applications, J. G. Proakis and D.G.
Manolakis, Pearson Education.
❖ K. K. Parhi, “VLSI Digital Signal Processing Systems- Design and Implementation”, John Wiley
& Sons

Reference Books:
❖ Sanjit K. Mitra, “ Digital Signal Processing: A Computer based approach”, McCraw Hill, 1998.
❖ Sen M. Kuo and Woon-SengGan, “Digital Signal Processors, architectures, implementations and
applications”, Prentice-Hall, ISBN 0130352144.
❖ V. Madisetti, “The Digital Signal Processing Handbook”, IEEE press, ISBN 0849385725.
❖ Lawrence R. Rabiner and Bernard Gold, “Theory and application of Digital signal
Processing”, Prentice-Hall of India, 2006.
❖ R. E. Crochiere and L. R. Rabiner, Multirate Digital Signal Processing, Prentice-Hall, 1983, ISBN
0-13-605162-6.
❖ S. M. Kay, Modern Spectral Estimation: Theory and Application, Prentice Hall, 1988, ISBN 0-
13-598582-X.
❖ Applications of Digital Signal Processing, A. V. Oppenheim.

ADAPTIVE SIGNAL PROCESSING (EC16402)

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand the concept of adaptive filtering, design criteria, modeling & performance evaluation.
• Acquire knowledge on various adaptive algorithms, convergence issues & computational complexity.
45
• Apply mathematical models for error performance and stability.
• Ability to develop adaptive systems for various applications.

UNIT I: INTRODUCTION TO ADAPTIVE FILTERS: Definitions, characteristics, adaptive filter structures,


applications, examples of adaptive systems. Adaptive linear combiner: General description, desired response
and error, the performance function, gradient and minimum mean square error (MMSE) and alternative
expression of gradient. 6(L)

UNIT II: WIENER FILTER, SEARCH METHODS AND THE LMS ALGORITHM: Wiener FIR filter (Real
case), Newton’s type algorithm, steepest descent search and the LMS algorithm, extension of optimal filtering
to complex valued input, complex LMS algorithm (FxLMS),sign-LMS and the normalized LMS algorithm 8(L)

UNIT III: CONVERGENCE AND STABILITY ANALYSIS: Convergence analysis of the gradient search
algorithms, learning curve and mean square error behavior, weight error correlation matrix, dynamics of the
steady state mean square error (MSE), Mis-adjustment and stability of excess MSE. 8(L)

UNIT IV: ADAPTIVE RECURSIVE FILTERS AND STRUCTURES: Least square (LS) estimation, pseudo-
inverse of a data matrix, optimality of LS estimation, adaptive recursive filters, RLS algorithm, and convergence
analysis of RLS algorithm, Application of RLS algorithm, lattice structures and adaptive lattice filters. 8(L)

UNIT V: APPLICATION OF ADAPTIVE FILTERS: Echo cancellation, equalization of data communication


channels, linear predictive coding and noise cancellation, Adaptive control systems: Adaptive model control,
adaptive inverse control. Introduction of adaptive array and adaptive beam forming, recent advances in adaptive
filtering. 6(L)

Text Books:
❖ B. Widrow and S. D. Sterns, Adaptive Signal Processing, Pearson Education, 2nd Indian reprint,
2002.
❖ S. Haykin, Adaptive Filter Theory, Fourth Edition, Pearson Education LPE, 2007.

Reference Books:
❖ Alexander D. Poularikas, Zayed M. Ramadan, Adaptive filtering primer with MATLAB, CRC
Press, 2006.
❖ A. H. Sayed, Adaptive Filters, John Wiley & Sons, NJ, ISBN 978-0-470- 25388-5, 2008.

Wing: VLSI

TESTING AND VERIFICATION OF VLSI CIRCUITS (EC16501)

Unit-1: Logic Simulation: Functional Modeling, Simulation for Design Verification, Compiled simulation,
Event driven simulation, Delay models, Element evaluation, Hazard Detection. 6(L)

Unit-2: Fault Modeling and Fault Simulation: Logical fault models, Fault detection and redundancy, Fault
equivalence and dominance, Single stuck and multiple stuck fault model, Fault Simulation technique, Fault
Simulation for combinational circuits. 8(L)

Unit-3: Testing: Role of Testing, Digital and Analog VLSI Testing, Testing for single stuck faults in
combinational and sequential circuits, Testing for bridging fault, functional testing. 6(L)

Unit-4: Design for Testability: Controllability and Observability, Ad-Hoc design, Generic scan-based design,
Digital DFT Design, Partial-Scan Design, Boundary Scan Standard. 8(L)

Unit-5: Built-In Self-Test: Introduction to BIST, Random Logic BIST: Definitions, BIST Process, Pattern
46
Generation, BIST Architecture: CSBL, BEST, RTS, LOCST, STUMPS, RTD, SST etc., Test-Per-Scan BIST
Systems, Circular Self-Test Path System, Memory BIST, Delay Fault BIST. 8(L)

Text/Reference Books:

❖ M. Abramovici, M.A. Breuer and A.D Friedman, “Digital Systems and Testable Design”, Jaico
Publishing House.
❖ M.L. Bushnell, V. D. Agrawal, “Essentials of Electronic Testing for Digital, Memory and Mixed Signal
VLSI Circuits”, Kluwer Academic Publishers.
❖ P.K. Lala, “Digital Circuits Testing and Testability”, Academic Press.

VLSI INTERCONNECTS (EC16502)

Unit-1: Introduction: Moore’s law, Technological trends, Interconnect scaling, 3D-interconnect view; 2(L)

Unit-2: Interconnect delay modeling: Typical interconnect structure, Extraction of interconnect parameters,
modeling interconnect drivers, switch-level RC model, effective capacitance modeling; 4(L)

Unit-3: Interconnection Length Prediction: Rents rule and parameter, Technology extrapolation, performance
prediction, Interconnect-power and power modeling; 5(L)

Unit-4: Inductance of Interconnects: Increasing the effects of inductance, skin effect and its influence on
resistance and inductance, Partial element equivalent circuit (PEEC) method; 5(L)

Unit-5: Driving interconnect for circuit speed optimization and Crosstalk: Evolution of the speed optimization
problem, logical effort method, Wire sizing, spacing. Driving RC trees, Crosstalk configuration, DC noise
margins, Reasons for high delay uncertainty, switch factor modeling of delay uncertainty, Buffer insertion for
noise 10(L)

Unit-6: Routing topology generation for speed optimization: New approaches in routing topology generation.
Width optimization based on separability / monotonicity properties. Advanced interconnect
techniques: reduced-swing circuits, current mode transmission techniques. Electro migration in interconnects,
Mitigation of electro migration 10(L)

Text Books/References

❖ Analysis and Design of Digital Integrated Circuits – A Design Perspective by Jan M. Rabaey, Tata Mc-
Graw Hill.
❖ Interconnection Noise in VLSI Circuits by F. Moll and M. Roca, Kluwer Academic Publishers.
❖ Introduction to VLSI Circuits and Systems by J. P. Uymera, Wiley Student Edition
❖ Interconnects in VLSI Design by Hartmut Grabinski, Springer-Verlag New York Inc. Publications

47
Professional Elective-III

Wing: Communication

ADVANCED WIRELESS NETWORKS (EC 16303)

Course Outcomes:
On successful completion of the course, the students will be able:

• To understand basic concepts, issues and challenges of wireless networks.


• To comprehend about the latest network standards.
• To understand the basic concepts of different types of ad hoc networks.
• To learn the basic concepts of mobility management in heterogeneous networks.
• To understand the basic concepts of SDR and cognitive radio networks.

UNIT 1: Introduction to Wireless Networks: Evolution of Wireless Networks, Challenges in 6(L)


Wireless Networks, Overview of various Wireless Networks, Wireless Network
Planning and Operation: Design of Wireless Networks, Topologies, Radio Resource
and Power Management In Wireless Networks.

UNIT 2: Wireless LANS, PANS, and MANS: Introduction, IEEE 802.11 (WLAN) - Technical 8(L)
Issues, Network Architecture, Latest Developments – IEEE802.11ac (Wi-Fi 5), and
IEEE802.11ax (Wi-Fi 6), Introduction to IEEE 802.15 (WPAN) and IEEE 802.16
(WMAN), WLL - Generic WLL Architecture.

UNIT 3: Ad Hoc Wireless Networks: Introduction and Applications of Wireless Ad Hoc 8(L)
Networks, Medium Access and Routing for Wireless Ad Hoc Networks, Introduction
to Vehicular Ad Hoc Networks (VANETs), IEEE 802.11p and IEEE 802.11bd,
Introduction to Wireless Sensor Networks (WSN), Applications and Issues in WSNs.

UNIT 4: Mobility Management for Wireless Networks: Introduction, Mobility Management 8(L)
in Next Generation Wireless Networks, Mobility Management for Mobile Networks
(Vehicular Networks), Route Optimization and Handover Techniques in Mobile
Wireless Networks.

UNIT 5: Cognitive Radio Networks: Introduction, Architecture, Software Defined Radio 6(L)
(SDR), Spectrum Sensing and Handoff in Cognitive Radio Networks, UWB Cognitive
Radio, Applications of Cognitive Radio, Issues and Challenges.

Text/Reference Books:
❖ P. Nicopolitidis, M.S. Obaidat, G.I. Papadimitriou, A.S. Pomportsis, “Wireless Networks,” John
Wiley & Sons, Ltd. 2003.
❖ C. Siva Ram Murthy and B.S. Manoj, “Ad-hoc Wireless Networks - Architecture and Protocols,”
Pearson Education, 2005.
❖ Kaveh Pahlavan and Prashant Krishnamurthy, “Principle of Wireless Networks - A Unified
Approach,” Prentice Hall, 2006.
❖ Huseyin Arslan, “Cognitive Radio, Software Defined Radio and Adaptive Wireless Systems,”
Springer, 2007.
❖ Research Papers from Journals (provided by the course instructor)

48
INTELLIGENT COMMUNICATION SYSTEMS (EC16304)

Course Outcomes:
On successful completion of the course students will be able to

• Understand the fundamentals of machine learning and deep learning


• To apply learning algorithms to communication and networking problems
• Understand the communication aspects involved in ML-empowered wireless communication systems
• To follow recent developments and emerging directions in ML theory and applications towards the
developments of Intelligent Communication Systems

UNIT 1: Machine Learning Techniques: Preliminaries & ML basics, Supervised (regression, classification)
and unsupervised learning, Deep learning, Convolution Neural Networks, Generative models (VAEs and GANs)
6(L)

UNIT 2: Applications to Communication Systems: PHY- layer: modulation, coding, channel estimation,
detection, MIMO Autoencoders and End-to-End Communication Systems, Multiple access and Resource
allocation (power control, scheduling, spectrum management), Autonomous networks, Internet-of-Things (IoT)
8(L)

UNIT 3: Automatic Modulation Classification: Modulation Classification and its Features, Likelihood based
Classifiers, Distribution Test-based Classifiers, Machine Learning Models for modulation Classifications 8(L)

UNIT 4: Distributed Machine Learning in Networks: Distributed optimization & SGD in resource-
constrained systems, Communication-Efficient Distributed Learning, Low-latency ML, Edge and On-device AI,
Federated learning, Decentralized learning 8(L)

UNIT 5: Reinforcement Learning (RL): Markov decision processes, Q-learning and Policy Optimization
methods, Deep Reinforcement Learning (DRL), Multi-agent systems 6(L)

Text/Reference Books:

❖ Zhechen Zhu, Ashoke K Nandi, Automatic Modulation Classification: Principles, Algorithms and
Applications
❖ Luo F. L., (2020), Machine Learning for Future Wireless Communications, Wiley Publication.
❖ S. Shalev-Shwartz and S. Ben-David, “Understanding Machine Learning”, Cambridge University Press
❖ M. Mohri, A. Rostamizadeh, and A. Talwalkar, “Foundations of Machine Learning”, MIT Press
❖ J. Friedman, R. Tobshirani, T. Hastie, “The Elements of Statistical Learning”, Springer

Self Learning Course Material:

Jagannatham, A. K. Principles of Communication II, NPTEL Course Material, Department of Electrical


Engineering, IIT Kanpur, https://nptel.ac.in/courses/108104094

49
Wing: Signal Processing

TWO-DIMENSIONAL SIGNALS AND SYSTEMS (EC16403)

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand various 2-D discrete signals and systems, 2-D DFT and FFT.
• Understand 2-D Z-transforms, realize 2-D LSI system and study its stability properties.
• Acquire knowledge of 2-D digital filters and their design & implementation.
• Acquire knowledge of finite wordlength effects in 2-D discrete systems.
• Test the stability of 2-D systems employing parameter uncertainties and delays.
• Understand various 2-D filtering techniques.

UNIT 1: Introduction to two-dimensional (2-D) discrete signals and systems, 2-D impulse, step, exponential,
separable and periodic sequences, 2-D LSI systems, convolution, BIBO stable systems and special support
systems, sampling of 2-D signals. 6(L)

UNIT 2: 2-D Discrete Fourier Transform (DFT), definition and properties, Calculation of DFT, FFT. 4(L)

UNIT 3: Finite order difference equations, 2-D Z-transforms, realization of 2-D LSI systems using difference
equations, recursive computability, boundary conditions, stability of 2-D recursive systems. 6(L)

UNIT 4: 2-D digital filters, periodic shift-variant digital filters, state variable realizations, design and
implementation of 2-D digital filters. 6(L)

UNIT 5: 2-D systems with parameter uncertainties, finite wordlength effects in fixed-point 2-D discrete
systems, 2-D delayed systems. 7(L)

UNIT 6: Stability of 2-D systems, convergence analysis of various 2-D state-space models, 2-D filtering
techniques and their performances, applications of 2-D signal processing. 7(L)

Text Books:
❖ N. K. Bose, Multidimensional systems theory and applications, 2nd edition, Springer.
❖ J. S. Lim, Two dimensional signal and Image Processing, Prentice Hall.

Reference Books:
❖ Dan E Dudgeon and R M Mersereau, Multidimensional Digital Signal Processing, Prentice Hall.
❖ Tamal Bose, Digital Signal and Image Processing, John Wiley publishers.

50
MATHEMATICS FOR MACHINE LEARNING (EC16404)

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand the fundamental mathematical concepts related to the machine learning.


• Develop skills in the techniques of linear algebra, optimization and their applications
• Analyze the behaviour of various practical systems.
• Apply the acquired knowledge in various mathematical problems in engineering.

UNIT 1: Vector spaces and their properties, linear dependence, basis and dimension, column space, row space,
null space, vector subspaces, inner product spaces, orthogonal basis and Gram-Schmidt process of
orthogonalization. 6(L)

UNIT 2: Linear transformations and their properties, basics of matrix algebra, eigenvalues, eigenvectors,
spectral radius, diagonalization, matrix norms, induced matrix norms, equivalent norms, sensitivity analysis and
condition numbers, special matrices and properties. Gerschgorin theorem. 7(L)

UNIT 3: Quadratic forms with applications, positive definite matrices and their properties, Sylvester’s criterion
for positive definiteness, Schur complements, applications of Schur complements in reducing computational
complexity of matrix inequalities, Rayleigh quotient, evaluation of matrix functions, spectral decomposition,
singular value decomposition and its applications, Moore-Penrose invers 8(L)

UNIT 4: Principal component analysis, least square approximation and minimum normed solution, Jordan
canonical form, convex sets and convex functions, properties of convex functions, convex optimization
problems. 7(L)

UNIT 5: State-space models for 1-D systems, stability triangle, systems with parameter uncertainties, systems
with delays, systems with nonlinearities, state trajectory and convergence analysis, state-space models for 2-D
systems, introduction to theory and applications of positive systems. 8(L)

Text Books:
❖ G. Strang, “Linear Algebra and its Applications”, 4th Edition, Thomson, (2006).
❖ Marc Peter Deisenroth, A. Aldo Faisal , Cheng Soon Ong, “Mathematics for Machine Learning “,
Cambridge University Press (2020).
❖ B.N. Datta, “Numerical Linear Algebra and applications”, Society for Industrial and Applied
Mathematics; 2nd edition (2010).

Reference Books:
❖ K. Hoffman and R. Kunze, “Linear Algebra”, Prentice Hall, (2008).
❖ K. B. Datta, “Matrix and Linear Algebra, Third Edition, PHI Learning Pvt. Ltd. (2017).
❖ S. Boyd, L. El Ghaoui, E. Feron, V. Balakrishnan, Linear Matrix Inequalities in Systemand
Control Theory, SIAM, Philadelphia, (1994).
❖ T. Kaczorek, Positive 1-D and 2-D Systems, Springer-Verlag, London 2002.

51
Wing: VLSI

VLSI PHYSICAL DESIGN AND AUTOMATION (EC16503)

UNIT1: VLSI Physic Design: New trends in VLSI design cycle and physical design cycle, Design Styles: Full-
custom, standard cell, FPGA, sea of Gates, comparison; System Packaging Styles, Fabrication Process and its
impact on Physical Design: scaling methods, issues related to fabrication process, future of fabrication process,
solutions for interconnect issues. 6(L)

UNIT2: Layout-Layout design rules, symbolic layout, process, floor-planning, ESD and I/O pad layout, analog
circuit layout techniques, digital circuit layout techniques. 6(L)

UNIT 3: Basic Algorithms- Basic terminology, complexity issues and NP-hardness, graph algorithms,
computational geometry algorithms, graph algorithms for physical design.
Partitioning: problem formulation, partitioning algorithms, Kernighan-Lin algorithm, simulated annealing and
evolution, other partitioning algorithms, performance driven partitioning. 8(L)

UNIT 4: Floor planning and Pin Assignment: Floor planning, floor planning algorithms, chip planning, Pin
assignment, integrated approach; Placement: problem formulation, simulation-based placement algorithms,
partitioning based placement algorithms, other placement algorithms, performance driven placement, recent
trends. 8(L)

UNIT 5: Routing-Global routing, detailed routing, over-the-cell routing and via minimization, clock and
power routing, Compaction: problem formulation, compaction algorithms, 1-D compaction, 1.5-D
compaction, 2-D compaction, hierarchical compaction, recent trends in compaction. 8(L)

Text/ Reference Books:

❖ Algorithms for VLSI Physical Design Automation by Naveed Sherwani, Springer, 4th edition, 2009.
❖ CMOS Analog and Mixed Signal Circuit Design by Arjuna Marzuki, CRC Press, 2020.
❖ Introduction to VLSI Physical Design by M. Sarrafzadeh, McGraw Hill (I.E.), 1996.
❖ VLSI Physical Design Automation by S. M. Sait, H. Youssef, World Scientific Publication

52
LOW POWER VLSI DESIGN (EC16504)

Course Outcomes:
On successful completion of the course students will be able to:
• Familiarize with the power dissipation in ICs, its limitations, and low power methodologies.
• Acquire broad knowledge of power consumption in CMOS digital circuit designs.
• Understand the effect of voltage scaling approaches in low power applications.
• Understand the role of adiabatic logic circuits approach in low power VLSI design.
• Understand the sources of leakage power and its mitigation techniques.

UNIT 1: Introduction- IC Power consumption concerns. Limits of Power in Microelectronics. Low-power


design methodologies. 2(L)

UNIT 2: Power Consumption in CMOS Digital Designs- Switching component of power. Switching energy per
transition. Conventional CMOS circuits with rail-to-rail swing. Charge sharing. Components of node
capacitance. Definition of transition activity factor. Influence of logic level statistic and circuit topologies on
the node transition activity factor. Word level signal statistics influencing activity. Influence of voltage scaling.
Short-circuit component of power. Leakage component of power. Diode Leakage. Sub-threshold leakage. Static
Power. Reduced voltage levels feeding CMOS gates. Pseudo-NMOS logic style. 12(L)

UNIT 3: Voltage Scaling Approaches- Reliability-driven voltage scaling. Technology-driven voltage scaling,
Energy x delay minimum based voltage scaling. Voltage scaling through optimal transistor sizing. Voltage
scaling using threshold reduction. Architecture-driven voltage scaling. Trading area for lower power through
hardware duplication. Optimal supply voltage for architecture driven voltage scaling. Trading area for lower
power through hardware pipelining. Noise Considerations at reduced supply voltage. Digital design with
multiple supplies. 10(L)

UNIT 4: Adiabatic Switching- Adiabatic charging. Adiabatic amplification. One-stage adiabatic buffer in
conventional system. Two-stage adiabatic buffer in conventional system. Fully adiabatic system. Comparison
with conventional buffer. Supply voltage influence. Adiabatic logic gates. Fully adiabatic sequential circuits.
Partially- adiabatic sequential circuits. Stepwise charging. Pulsed-power supplies. Optimization algorithms.
8(L)
UNIT 5: Leakage Power Reduction- Leakage current in deep submicron ICs. Gate oxide tunneling. Supply
power control. Bulk-source biasing. Bias voltage generator. Logic gate optimization for leakage power. Input
vector selection for standby mode. 4(L)

Text/Reference Books:

❖ Low Power CMOS VLSI circuit design By Kaushik Roy and S.C Prasad, Publisher: John
Wiley & Sons.
❖ CMOS/BiCMOS VLSI: Low Voltage Low Power by K.S. Yeo, S.S. Rofail, W.L. Goh
Publisher: Prentice Hall.
❖ Low Power Digital CMOS Design By A.P. Chandraksen, R.W. Brodersen Publisher: Kluwer
Academics

53
RF AND MICROWAVE ENGINEERING LAB (EC16201)

Experiment 1: To Study the Characteristics of Reflex Klystron and to determine its electronic tuning range.

Experiment 2: To determine the frequency and wavelength in a rectangular waveguide working in TE10 mode.

Experiment 3: To Measure the Standing Wave Ratio (SWR) and Reflection Coefficient.
(a) Low VSWR (b) High VSWR

Experiment 4: To measure an unknown impedance with smith chart.

Experiment 5: To study V-I characteristics of GUNN diode.

Experiment 6: Study the function of directional coupler by measuring the following parameters

Experiment 7: To measure main line and main line VSWR

Experiment 8: To measure the coupling factor and directivity.

Experiment 9: Study of Attenuator and Isolator

Experiment 10: Study of Magic Tee. E-plane Tee and H-plane Tee

Experiment 11: Low power Microwave measurement using Microwave power Sensor.

Experiment 12: Simulation of basic microwave components

DIGITAL IMAGE PROCESSING LAB (EC16202)

Course outcomes:
On successful completion of the course, the students will be able to:

• Learn the use of various simulation tools for digital image processing operations.
• Implement various conventional image processing operations.
• Implement the gained knowledge in solving practical image processing related problems.
• Learn hardware implementation of signal/image processing algorithms.

Experiment 1: Write a program to read an RGB image and perform various operations on the image.

Experiment 2: Write a program to perform zoom in and zoom out operation on an image by 200% and 50 %
respectively.

Experiment 3: Write a program to construct 5*5 Gaussian and Median filter and apply on an image corrupted
by salt and pepper noise.

Experiment 4: Write a program to detect the edges of a coloured image using Sobel operators and verify the
results using the inbuilt commands.

Experiment 5: Write a program to detect the edges of a coloured image using Prewitt operators and verify the
results using the inbuilt commands.

Experiment 6: Write a program to detect an edge of an image using second order derivative Laplacian operator.

54
Experiment 7: Convert a colour image into a grayscale image. Write a program to perform histogram
equalization of a gray scale image and compute the PSNR of the output image.

Experiment 8: Write a program to read RGB image and calculate DCT coefficients of the image and recover
the original image after applying inverse DCT.

Experiment 9: Add Gaussian noise to a grayscale image and then recover original image by appropriate filter.
Calculate the parameter MSE, PSNR of original and recovered image.

Experiment 10: Write a program to perform bit slicing of colour image and plot them.

Experiment 11: Write a program to perform morphological operation on a coloured image and detect edge
using the same.

55
Courses offered by ECED in Seventh Semester B Tech ECE

Course Code Course name L T P Credit


EC-17101 Mobile and Wireless Communication 3 0 2 4
EC-17102 Nanoelectronics and its Applications 3 0 0 3
EC-17301,17302,17401,17402,17403, Elective – IV 3 0 0 3
17501,17502
EC-17303,17304,17403,17405,17406, Elective-V 3 0 0 3
17503
Project 0 0 4 4
Total 12 0 6 17

MOBILE AND WIRELESS COMMUNICATION (EC17101)

Course Outcomes:
On successful completion of the course students will be able to:
• Understand mobile communication systems and wireless standards.
• Comprehend the basic concepts of cellular system design.
• Learn the basic concepts of Mobile radio propagation.
• Understand the basic concepts of diversity and multiple access techniques.
• Learn the basic techniques and concepts of 5G.

UNIT 1: Introduction to Mobile Communication Systems, Characterization of Wireless 6(L)


Channel, Wireless Communication Standards, Comparison of Wireless Systems and
Trends.
UNIT 2: Cellular concept and system design fundamentals, channel assignment strategies, Hand 8(L)
-off strategies, Interference and system capacity, Improving capacity in cellular
systems, Trunking Theory and Grade of Service.

UNIT 3: Large Scale Path Loss, Ground reflection model, diffraction scattering, Indoor 5(L)
propagation models, outdoor propagation models, ray tracing and site specific
signaling

UNIT 4: Small Scale Fading and Multipath, Envelope fading, Doppler Spread, Time delay 6(L)
spread, Coherence time and coherence bandwidth, types of small-scale fading,
Multipath fading using Rayleigh and Rician PDF

UNIT 5: Diversity techniques: space, angle, frequency, time and polarization. 3(L)
Spread Spectrum Systems, CDMA, OFDM, Capacity of FDMA, TDMA and CDMA.

UNIT 6: 5G Use Cases and Standardization, Spectrum Requirements and System Concept, 4(L)
Architecture and deployment, Radio Access Techniques, Mobility Management.

Text/Reference Books:
❖ T. S. Rappaport, “Wireless Communication - Principle and practice,” Pearson Education, 2nd Edition,
2010.
❖ Andreas F. Molisch, “Wireless Communication,” IEEE Press, 2010.
❖ Simon Haykin, “Modern Wireless Communication,” Pearson Education, 2011.
❖ Andrea Goldsmith, “Wireless Communication,” Cambridge University Press, 2005.
❖ Afif Osseiran, Jose F. Monserrat, “5G Mobile and Wireless Communication Technology,”
Cambridge University Press, 2016.

56
NANOELECTRONICS AND ITS APPLICATIONS (EC 17102)

Course Outcomes:

On successful completion of the course students will be able to


• Understand the concepts and growth of various Nanostructures
• Understand the methods of fabrication of Nano layers
• Understand the applications of Carbon Nanotubes
• Understand the concepts of Nano sensors and their applications

Unit-1
Introduction to nanoelectronics, Classification of Nanostructures, Electronic properties of atoms and solids:
Isolated atom, Bonding between atoms, Giant molecular solids, Free electron models and energy bands,
crystalline solids, Periodicity of crystal lattices, Electronic conduction, effects of nanometer length scale,
Fabrication methods: Top-down processes, Bottom up processes methods for templating the growth of
nanomaterials, ordering of Nano systems. 10(L)

Unit-2
Microscopic & Mesoscopic physics, trends in microelectronics and optoelectronics, characteristic lengths in
mesoscopic systems, Quantum mechanical coherence, Schrodinger’s Equation, wave function, Low
dimensional structures Quantum wells, Basic properties of two-dimensional semiconductor nanostructures,
Quantum wires and quantum dots, carbon nano tube, grapheme, Introduction to methods of fabrication of nano-
layers 10(L)

Unit-3
Carbon Nanostructures: Carbon molecules, Carbon Clusters, Carbon Nanotubes, application of Carbon
Nanotubes. 6(L)

Unit-4
Nano sensors: Introduction, Sensor and Nano sensors, Chaotic response analysis, Characterization, Perception,
Nano sensor-Based On Quantum Size Effects, Electrochemical Sensors, Sensors Based On Physical Properties,
Nano biosensors, Smart dust Sensor for the future. Applications: Injection lasers, quantum cascade lasers, single-
photon sources, biological tagging, optical memories, coulomb blockade devices, photonic structures, QWIP’s,
NEMS, MEMS 10(L)

Text/ Reference Books:

❖ Ed Robert Kelsall, Ian Hamley, Mark Geoghegan, Nanoscale Science and Technology, John Wiley,
2007.
❖ Charles P Poole, Jr, Frank J Owens, Introduction to Nanotechnology, John Wiley, Copyright 2006,
Reprint 2011.
❖ T Pradeep, Nano: The Essentials-Understanding Nanoscience and Nanotechnology, TMH.

57
Professional Elective-IV

Wing: Communication

WDM OPTICAL NETWORKS (EC17301)

Course Outcomes:
On successful completion of the course students will be able to:

• Recognize and evaluate the performance of various enabling technologies used in modern
optical networks.
• Evaluate several WDM network topologies, including wavelength routing networks.
• Illustrate the important aspects involved in WDM network planning, such as power
budget, dispersion correction, OSNR calculation, and nonlinearity.

UNIT 1: Introduction to Optical Networks- Principles and Challenges and its Generation, Optical Transmission
Systems, Wavelength Division Multiplexing, Wavelength Add/Drop Multiplexer, Optical Filters, Optical
Amplifiers, Wavelength cross connect, Evolution of WDM Optical Network, WDM Point-to-point Link. 10(L)

UNIT 2: Enabling technologies for WDM optical networks, WDM optical networks architecture, Broadcast-
and-select network, Wavelength routed network, linear light wave network. 8(L)

UNIT 3: Issues in wavelength routed network, Routing and wavelength assignment, Wavelength convertible
networks, Multifiber networks, Virtual topology design, Virtual topology reconfiguration, Survivable networks,
Optical multicast routing, Network control and management 10(L)
UNIT 4: Transmission impairment, Ring networks and traffic grooming, VPN over WDM Optical network,
Access network and Next generation optical Internet Networks, Introduction of All Optical Network. 8(L)

Text/Reference Books

❖ R. Ramaswami, & K. N. Sivarajan, “Optical Networks a Practical perspective”, Morgan


Kaufmann Publishers, 3rd Ed.
❖ B. Mukherjee, Optical Communication Networks, New York, NY: McGraw-Hill, July 1997.
❖ U. Black, “Optical Networks: Third Generation Transport Systems”/ Pearson Educations

58
ADVANCED WIRELESS COMMUNICATION (EC17302)

Course Outcomes:
On successful Completion of the course students will be able to

• Distinguish and understand the major cellular communication standards (1G/2G/3G/4G/5G/6G


systems) and wireless communications networks.
• Understand the 5G techniques e.g. massive MIMO. mmWave etc. for the design of communication
systems.
• Characterize and analyze various modulation and multiplexing techniques e.g. OFDM, NOMA etc.
• Applications of Machine Learning in 5G Wireless Communications.

Unit 1: Introduction and fundamentals of wireless communications: Cellular systems, non-cellular wireless
systems. 4(L)

Unit 2: 5G Key Technologies: Small cells, massive MIMO, mmWave.


Small cells: Past, present, and future trends of cellular networks coverage and capacity of small cell networks
Interference management, D2D architecture Towards IoT Spectrum sharing.
Massive MIMO: Point-to-point MIMO, Virtual MIMO (relaying), multiuse MIMO, Massive MIMO,
propagation channel model, channel estimation, uplink and downlink data transmission capacity bounds,
achievable rate, energy and spectral efficiency trade-off.
mmWave: Applications, radio wave propagation Physical layer design and algorithms mmWave MIMO
challenges channel modeling channel estimation
Beamforming. Multiple access techniques: OFDM, filter banks, GFDM, OTFS, NOMA. 14(L)

Unit 3: 6G Key Enablers: Wireless energy harvesting, machine learning, visible light communication,
Intelligent reflecting surface (IRS), Extremely Large Aperture Massive MIMO.
Wireless energy harvesting: Energy-rate trade-off Simultaneous wireless information and power transfer
(SWIPT), time-switching, power splitting Wireless powered communication networks Outage probability and
throughput. 10(L)

Unit 4: Machine learning applications: Channel modeling and estimation, Spectrum sensing and sharing,
Resource allocation (NOMA, mmWave massive MIMO). 8(L)

Text/Reference Books:

❖ R. Vannithamby and S. Talwar, Towards 5G: Applications, Requirements and Candidate


Technologies., John Willey & Sons, West Sussex, 2017.
❖ Manish, M., Devendra, G., Pattanayak, P., Ha, N., 5G and Beyond Wireless Systems PHY Layer
Perspective, Springer Series in Wireless Technology

SUPPLEMENTARY READING

❖ T. S. Rappaport, R. W. Heath Jr., R. C. Daniels, and J. M. Murdock,, Millimeter Wave Wireless


Communication., Pearson Education, 2015.
❖ M. Vaezi, Z. Ding, and H. V. Poor, Multiple Access techniques for 5G Wireless Networks and Beyond.,
Springer Nature, Switzerland, 2019

59
Wing: Signal Processing

DIGITAL HARDWARE DESIGN (EC-17401)

Course outcomes:
On successful completion of the course, the students will be able to:

• Design and analyze digital systems.


• Simulate digital circuits.
• Understand the principles of digital computer organization and design.
• Be familiar with the applications of Programmable Logic Devices.

UNIT 1: REVISION OF COMBINATIONAL AND SEQUENTIAL LOGIC: Review of POS and SOP
minimization, multi output function, variable entered mapping, computer Arithmetic, ASM, FSM, shift register,
timing and triggering, clock skew, device technologies, system representation, levels of abstraction,
development tasks and EDA software, development flow. 8(L)

UNIT 2: HARDWARE DESCRIPTION LANGUAGES: Digital hardware elements and their description in
hardware description language, system structuring methodology, VHDL/Verilog simulation and its different
types. 6(L)

UNIT 3: CIRCUIT DESIGN: Combinational circuit design, sequential circuit design, finite state machine,
register transfer methodology, hierarchical design, clock and synchronization. 6(L)

UNIT 4: MICROPROCESSOR DESIGN: Data path design, control unit design, hardware, software and
firmware considerations in designing control units, arithmetic and logic unit of processors, example of dedicated
processor (GCD), general purpose processor design. 8(L)

UNIT 5: FPGA BASED DESIGN: Programmed logic devices, fundamental concept of FPGA, architecture of
FPGA, FPGA programming, schematic and HDL based design flow, serial Communication, memory, digital
filters, DSP based design, IP. 8(L)

Text Books:
❖ W.I. Fletcher, An Engineering approach to Digital Design, PHI.
❖ Z. Kohavi, N. K. Jha, Switching and finite automata theory, 3rd edition, Cambridge University Press.
❖ E. O. Hwang, Digital logic and microprocessor design with VHDL, Nelson Engineering.

Reference Books:
❖ C H Roth, Digital System design using VHDL, CL Engineering.
❖ PP Chu, RTL Hardware design using VHDL: Coding for efficiency, portability, and scalability,
Wiley-IEEE Press.
❖ D. Perry, VHDL: Programming by example, McGraw Hill.

60
SWITCHING CIRCUITS AND FINITE AUTOMATA THEORY (EC-17402)

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand the principles of threshold logic, the effects of hazards on digital circuits and fault
detection strategies.
• Be familiar with the concepts of finite state model and finite automata theory.
• Understand the structure, behavior, and limitations of logic machines.
• Design for testability and built-in self-test for combinational and sequential circuits.
• Analyze and synthesize digital circuits.

UNIT 1: STRUCTURAL PROPERTIES OF SWITCHING FUNCTIONS: Functional decomposition,


symmetric networks, identification of symmetric functions, threshold logic, analysis and synthesis of threshold
networks. 6(L)

UNIT 2: RELIABLE DESIGN AND FAULT DIAGNOSIS IN COMBINATIONAL CIRCUITS: Hazards, fault
models, fault detection and location in combinational circuits, fault detection by fault-table, path sensitizing,
and Boolean difference methods, D- algorithm, delay fault testing, detection of multiple faults, failure-tolerant
design, quadded logic.
6(L)

UNIT 3: SYNCHRONOUS SEQUENTIAL CIRCUITS: Finite-state model, capabilities and limitations of


finite-state machines, Mealy and Moore machines, state equivalence and machine minimization, analysis and
synthesis of synchronous sequential circuits, simplification of incompletely specified machines. 6(L)

UNIT 4: ASYNCHRONOUS SEQUENTIAL CIRCUITS: Fundamental-mode circuits, analysis and synthesis


of asynchronous sequential circuits, state assignment in asynchronous sequential circuits, Hazard-free
asynchronous circuits. 6(L)

UNIT 5: FAULT-DETECTION AND LOCATION IN SEQUENTIAL CIRCUITS: Homing experiments,


distinguishing experiments, machine identification, fault-detection experiments, design for testability, scan
design, Built- in Self-Test (BIST). 6(L)

UNIT 6: MEMORY, DEFINITENESS AND INFORMATION LOSSLESSNESS OF FINITE AUTOMATA:


Properties of finite- memory machines, definite machines, tests for definiteness, finite output memory machines,
information lossless machines, inverse machines. 6(L)

Text Books:
❖ Z. Kohavi and N. K. Jha, Switching and Finite Automata Theory, Tata McGraw-Hill, Third Edition.

Reference Books:
❖ M. Abramovici, M. A. Breuer, and A. D. Friedman, Digital Systems Testing and Testable Design,
Wiley-IEEE
❖ press, 1994.
❖ R. D. Adams, High Performance Memory Testing, Kluwer Academic Publishers, 2002.
❖ J. Altet and A. Rubio, Thermal Testing of Integrated Circuits, Kluwer Academic Publishers, 2002.
❖ Parag K Lala, Fault Tolerant And Fault Testable Hardware Design, Prentice Hall Inc. 1985.
❖ Charles Roth Jr, Larry L. Kinney, Digital Circuits and Logic Design, Cengage Learning, 2014.

61
ADVANCED DSP ARCHITECTURE (EC-17403)

Course outcomes:
On successful completion of the course, the students will be able to:

• Understand various DSP architectures.


• Gain knowledge in addressing modes, interrupts, peripherals and pipelining structure of
TMS320C54xx processor.
• Develop basic DSP algorithms using DSP processors.
• Implement DSP techniques in different practical applications.

UNIT 1: Introduction in concepts and architectures used in digital signal processing, parallel architectures
specialized in digital signal processing. 4(L)

UNIT 2: DIGITAL SIGNAL PROCESSORS, PROCESSING ARCHITECTURES: Von Neuman (SISD),


Harvard, SIMD, MIMD. Comparison: CISC-RISC versus Transputers. DSP types: fixed point, floating point.
TI DSP family. 4(L)

UNIT 3: Fixed point DSP fundamentals (structure of TMS320C25 family), internal architecture, external
connections, memory organization, peripherals, interrupts. Instruction set of TMS320C2x family, Addressing
modes- direct, immediate, indirect and bit-reverse. COFF format and Q15 representation. 8(L)

UNIT 4: Other DSP structures (Enhanced fixed point-TMS320C5x, C54x, floating point- 3x, 4x families, high
performance 8x, 6x), Internal architecture- improvements compared with C2x. Hardware implementation of
loops. memory organization, interrupts, addressing modes, instruction set. MVP TMS320C80-internal
architecture, TMS320C54x architecture. Improvements. TMS320C6x, VLIW Architecture -VelociTI.C6201
chip. 9(L)

UNIT 5: MATHEMATICAL FUNDAMENTALS OF FILTER AND FFT DESIGN: Digital filters- FIR filters,
IIR filters, FFT- properties. Base algorithm, fast algorithms. 4(L)

UNIT 6: Interconnection in DSP systems (high performance buses), testing of DSP based systems (JTAG
interfaces), practical applications using DSP. 7(L)

Text Books:
❖ D Liu, Embedded DSP processor design: Application specific instruction set processors, Illustrated
edition, Morgan Kaufmann, 2008.
❖ Chassaing, Rulph, Digital Signal Processing: Laboratory Experiments Using C and the TMS320C31
DSK. John Wiley & Sons, Inc., New York, 1999.

Reference Books:
❖ Phil Lapsley, Jeff Bier, Amit Shoham, “DSP Processor Fundamentals, Architectures and Features”,
IEEE Press.
❖ Michael J. Flynn, “Computer Architecture. Pipelined and parallel processor design”, Jones and
Bartlett, 1995.
❖ Kehtarnavaz, Nasser; Simsek, Burc, C6x-Based Digital Signal Processing. Prentice Hall, New Jersey,
2000.
❖ Gomaa, Hassan, Software Design Methods for Concurrent and Real-Time Systems. Addison- Wesley
Publishing Company, Inc., 1993.

62
Wing: VLSI

MIXED MODE VLSI DESIGN (EC17501)

Course Outcome:

On successful completion of this course students will be able to:

• Understand the fundamentals of active and passive elements with their state variables,
sensitivity analysis, pole positions etc.
• Analyze the basic CMOS circuits applicable in Mixed-signal VLSI and techniques for
continuous time signal processing blocks.
• Basic operation and analysis of mixed-signal circuits such as nonlinear and dynamic analog
circuits, analog filters/sensors, A/D and D/A converters etc.
• Qualitative study of digitally programmable features of analog building blocks for realization
of high-speed mixed signal circuits.

UNIT 1: Nonlinear Analog Circuits: Basic CMOS comparator design and its characterizing parameters,
adaptive biasing, analog multipliers, level shifting circuits; Dynamic Analog Circuits: MOSFET switch, sample
and hold circuits, Switched-Capacitor Circuits: basic building blocks, operation and its analysis, resistor
equivalence, noise in switched capacitor circuits, switched-capacitor integrator, other switched capacitor
circuits. 10[L]

UNIT 2: DAC Architectures: digital-to-analog converter specifications, digital input code, resistor string, R-
2R ladder networks, current steering, charge scaling DACs, cyclic DAC, pipeline DAC. 8[L]

UNIT 3: ADC Architectures: Analog-to-digital converter specifications, flash ADC, two-step flash ADC,
pipeline ADC, integrating ADC, successive approximation ADC, oversampling ADC. 10[L]

UNIT 4: Digital Tuning/Digital Programmability: SPRA/SPCA (switched programmable resistor array &
switched programmable capacitor array), m-DAC (Multiplier-DA converter) and their interfacing to
microcontroller/micro computer system, digitally programmable active RC network using high speed
analog/mixed -signal building block. 8[L]

Text/ Reference Books:

❖ CMOS Circuit Design, Layout, and Simulation by R. Jacob Baker et.al., Prentice Hall of India, 2010.
❖ Advanced data converters by Gabriele Manganaro, Cambridge University Press, 2012.
❖ Randall L Geiger, Phillip E. Allen, “Noel K. Strader, VLSI Design Techniques for Analog and Digital
Circuits”, Mc Graw Hill International Company, 1990.
❖ Jose E. France, Yannis Tsividis, Design of Analog-Digital VLSI Circuits for Telecommunication and
Signal Processing, Prentice Hall, 1994.
❖ C. Toumazou, F. J. Lidgey& D. G. Haigh, Analog IC Design: The Current-Mode Approach, Peter
Peregrinus Ltd.

63
RF IC DESIGN (EC17502)

Unit-1: Introduction to RF and Wireless Technology:


Complexity, design and applications. Choice of Technology, path loss, and transmitter output power,
Nonlinearly and Time Variance, intersymbol Interference, random processes and Noise. Definitions of
sensitivity and dynamic range, conversion Gains and Distortion. 6(L)

Unit-2: Analog and Digital Modulation for RF circuits:


Comparison of various techniques for power efficiency. Coherent and Non coherent detection. Mobile RF
Communication systems and basics of Multiple Access techniques. Receiver and Transmitter Architectures and
Testing heterodyne, Homodyne, Image-reject, Direct-IF and sub-sampled receivers. Direct Conversion and two
steps transmitters. 6(L)

Unit-3: Low Noise Amplifiers


Basic blocks in RF systems and their VLSI implementation: Basic concepts of amplifiers, Low Noise Amplifiers
design in various technologies, Design of Mixers at GHz frequency range. Various Mixers, their working and
implementations 6(L)

Unit-4: Mixers
RF mixers and their basic concepts, active and passive mixers, balanced and unbalanced mixers, mixer's noise
performance, design of up and down-conversion mixers, Design issues in integrated RF filters. 4(L)

Unit-5: Oscillators
Working principles of frequency oscillators and their basic concepts, topologies of oscillators, tune oscillators,
impact of noise in the oscillator's signal, Voltage Controlled Oscillator (VCO), phase noise, Quadrature
oscillators. 6(L)

Unit-6: Synthesizers
Working principles of frequency synthesizers and their basic concepts. Phase-Locked Loop types I and II, All-
Digital PLL, and the impact of the PLL frequency synthesizer on the signal's noise performance. fractional-N
PLL frequency synthesizers, design of a frequency divider and a phase detector.
Power Amplifiers
Classification, types of power amplifiers and their design. Linearization technique, polar modulation. 8(L)

Text/References
❖ B. Razavi, RF Microelectronics, Prentice-Hall PTR,1998.
❖ T.H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits", Press, 1998.
❖ R. Jacob Baker, H.W.Li, and D.E. Boyce, CMOS Circuit Design Layout and Simulation, Prentice-Hall
of ,1998.
❖ Y.P. Tsividis Mixed Analog and Digital VLSI Devices and Technology, McGraw Hill,1996.

64
Professional Elective- V

Wing: Communication

ADAPTIVE AND SMART ANTENNA (EC17303)

Course Outcomes:
On successful completion of the course students will be able to

• Understand antenna theory and application of signa processing in it.


• Learn techniques of developing MIMO antennas, beam forming.
• Design practical antennas for Radar applications.

UNIT 1: Adaptive Array Concept: Motivation of using Adaptive Arrays, Adaptive Array problem statement,
Signal Environment, Array Element Spacing considerations, Array Performance, Nulling Limitations due to
miscellaneous array effects, Narrow band and broad band signal processing considerations 8(L)

UNIT 2: Optimum Array Processing: Steady state performance limits and the Wiener solution, Mathematical
Preliminaries, Signal Description for conventional and signal aligned arrays, Optimum Array Processing for
narrowband applications, Optimum Array Processing for broadband applications, Optimum Array Processing
for perturbed propagation conditions 8(L)

UNIT 3: Adaptive Algorithms: The least mean square error (LMS) algorithm, the Differential Steepest descent
algorithm, the accelerated gradient approach, Gradient algorithm with constraints, Simulation studies. 5(L)

UNIT 4: Recursive Methods for Adaptive Error Processing: The weighted Least Square Error Processor,
Updated Covariance Matrix Inverse, Kalman Filter methods for Adaptive Array Processing, the minimum
variance processor, Simulation studies. 7(L)

UNIT 5: Effect of Mutual Coupling on Adaptive Antennas: Accounting for mutual effects for dipole array-
compensation using open-circuit voltages, compensation using the minimum norm formulation, Effect of mutual
coupling- Constant Jammers, Constant Signal, Compensation of mutual coupling- Constant Jammers, Constant
Signal, Result of different elevation angle. 8(L)

Text/Reference Books:

❖ C. A. Balanis & P. I. Ioannides, Introduction to Smart Antennas, Morgan & Claypool Publication ,
2014
❖ Frank Gross, Smart Antennas with MATLAB, McGraw-Hill Professional , 2015

SUPPLEMENTARY READING

❖ Lal Chand Godara, Smart Antennas, CRC Press


❖ T.S. Rappaport, Smart Antennas Adaptive Arrays Algorithms and Wireless Position Location, IEEE
Press, PTR – PH publishers

65
ELECTROMAGNETIC INTERFERENCE AND COMPATIBILITY (EC17304)

Course Outcomes:
On successful completion of the course students will be able to:
• Familiarize with the fundamentals of EMIC which is essential for electronic industry.
• Understand the source, types, and conduction principles of Electromagnetic Interference.
• Understand the specifications, standards, and limits of Electromagnetic compatibility.
• Acquire broad knowledge of various EMI radiation measurement instruments and the
measurement techniques.
• Understand the Electromagnetic Interference Compatible for PCB design.

UNIT 1: EMI ENVIRONMENT-Sources of EMI, conducted and radiated EMI, Transient EMI, EMI- EMC
Definitions and units of parameters. 6(L)

UNIT 2: EMI COUPLING PRINCIPLES- Conducted, Radiated a n d Transient C o u p l i n g , C o m m o n


I m p e d a n c e Ground Coupling, Radiated Common Mode and Ground Loop Coupling, Radiated Differential
Mode Coupling, Near Field Cable to Cable Coupling, Power Mains and Power Supply Coupling. 6(L)

UNIT 3: EMI SPECIFICATIONS/STANDARDS/ L I M I T S - Units of specifications, Civilian standards,


Military standards 6(L)

UNIT 4: EMI MEASUREMENTS- EMI Test Instruments/Systems, EMI Test, EMI Shielded Chamber, Open
Area Test Site, TEM Cell Antennas, Conductors Sensors/Injectors/Couplers, Military Test Method and
Procedures, Calibration Procedures. 6(L)

UNIT 5: EMI C O N T R O L T E C H N I Q U E S -Shielding, Filtering, Grounding, Bonding, I s o l a t i o


n T r a n s f o r m e r, Transient Suppressors, Cable Routing, Signal Control, Component Selection and Mounting.
6(L)

UNIT 6: EMC DESIGN OF PCBS-PCB Traces Cross Talk, Impedance Control, Power Distribution
Decoupling, Zoning, Motherboard Designs and Propagation Delay Performance Models. 6(L)

Text/Reference Books:

❖ Bernhard Keiser, “Principles of Electromagnetic Compatibility ", Artech house, 3rd Ed, 1986.
❖ Henry W. Ott, “Noise Reduction Techniques in Electronic Systems ", John Wiley and Sons, 1988.
❖ V P Kodali, “Engineering EMC Principles, Measurements and Technologies ", IEEE Press, 1996.
❖ Learning Materials on Electromagnetic Interference and Compatibility, prepared by IIT, New
Delhi, for the project IMPACT, DoE, Government of India, 1997.

66
Wing: Signal Processing

DSP PROCESSORS (EC17404)

Course outcomes:
On successful completion of the course, the students will be able to:

• To understand architectural features of DSP Processors


• To understand various on-chip devices required for DSP algorithm.
• To understand and realize various DSP Filters and Algorithm.
• To understand to interface external devices with DSP Processors.

UNIT 1: INTRODUCTION TO DIGITAL SIGNAL PROCESSING: A Digital Signal Processing System, the
Sampling process, the convolution theorem, the DFT and FFT, decimation and interpolation, number formats for
signals and coefficients in DSP systems, dynamic range and precision, sources of error in DSP implementations,
A/D conversion errors, DSP computational errors, D/A conversion errors. 6(L)

UNIT 2: INTRODUCTION OF DSP PROCESSORS: Need for DSP processors, MAC, modified bus structures,
memory access schemes, Harvard architecture, VLIW architecture, on-chip peripherals, addressing modes,
concept of pipelining. issues in real time DSP applications, fixed point and floating point Processors. 6(L)

UNIT 3: PROGRAMMABLE DIGITAL SIGNAL PROCESSORS : TMS320C54x architecture, interrupts and


interrupt vector, TMS320C54x peripherals, external memory interface, direct memory access, multi-channel
buffered serial ports, clock generator and timers, general purpose input/output port, TMS320C54x addressing
modes, direct addressing modes, pipeline, instruction set, assembly language programming, assembly code
generation by C compiler, mixed C-and-assembly language programming, phase-locked loop and timers, Direct
Memory Access (DMA). 10(L)

UNIT 4: IMPLEMENTATION OF BASIC DSP ALGORITHMS: Introduction, the Q-notation, FIR Filters, IIR
Filters, interpolation and decimation filters. An FFT Algorithm for DFT computation, overflow and scaling, Bit-
reversed index generation & implementation on the TMS32OC54xx. 8(L)

UNIT 5: INTERFACING OF MEMORY AND PARALLEL I/O PERIPHERALS TO DSP DEVICE:


Introduction, memory space organization, external bus interfacing signals, memory interface, parallel I/O
interface, programmed I/O, interrupts and DMA interface. 6(L)

Text Books:
❖ B. Venkataramani & M. Bhaskar, Digital Signal Processor, Architecture, Programming and
Applications, (2/e), McGraw- Hill, 2010.
❖ S. Srinivasan & Avtar Singh, Digital Signal Processing, Implementations using DSP Microprocessors
with Examples from TMS320C54X, Brooks/Cole, 2004.

Reference Books:
❖ K Padmanabhan, R. Vijayarajeswaran, A Practical Approach to Digital Signal Processing, New Age
International.
❖ Sen M. Kuo & Woon-Seng S. Gan, Digital Signal Processors: Architectures, Implementations, and
Applications, Prentice Hall.
❖ C. Marven & G. Ewers: A Simple approach to digital signal processing, Wiley Inter science.
❖ TMS320C5x User’s Guide.

67
IMAGE ANALYSIS AND PATTERN RECOGNITION (EC17405)

Course outcomes:
On successful completion of the course, the students will be able to:

• Ability to understand the basic concepts of 2D discrete-time signals and systems


• Able to do analysis using image transformations both in spatial and frequency domain,
understanding image transforms and multiresolution image processing using wavelets
• Ability to understand the technologies for digital image compression.
• Ability to perform image segmentation.
• Ability to perform the classification of patterns and realize the clustering concepts
• Understand and apply various algorithms for feature extraction and pattern recognition, Choose
shape description methods appropriate to a problem, Use classification methods appropriate to a
problem

UNIT 1: IMAGE PRELIMINARIES: Fundamental steps in image processing, Image representation and
modeling, Mathematical preliminaries of 2D Systems: Signals, Linear shift invariance system, Convolution
Sum, Frequency domain transformation techniques and their properties. 4(L)

UNIT 2: IMAGE PRE-PROCESSING: Image Point Processing: Gray-level mapping, image histogram,
histogram stretching, histogram equalization, histogram matching. Neighborhood Processing: Median filter,
mean filter, edge detection and image sharpening. Color image processing.
Morphology: Dilation & erosion, closing and opening and boundary detection Image restoration: Inverse
and wiener filtering. 6(L)

UNIT 3: IMAGE TRANSFORMATIONS ANALYSIS AND IMAGE COMPRESSION: Geometric


transformations: Translation, rotation, scaling and shearing.
Frequency transformation: Discrete Fourier Transform (DFT), DCT, DST Hadamard, Harr and Slant
transform.
Wavelet Transform: Basic concepts, short-time Fourier transform (STFT), Multi-resolution analysis using
wavelet transform, Haar wavelet transforms in 1-D and 2-D, the fast Wavelet Transform.
Image Compression: Types and requirements, image data compression using predictive technique, pixel
coding, transfer coding theory, lossy and lossless predictive type coding. 8(L)

UNIT 4: SEGMENTATION AND OBJECT EXTRACTION: Line and Edge Detection Thresholding and
Region based segmentation, Segmentation by active contours. Applications of image segmentation. 4(L)

UNIT 5: FEATURE EXTRACTION AND DIMENSION REDUCTION: Color, Texture, Shape, Local
Features, Spatial and frequency domain, HOG, Corner Detection, SIFT and SURF, Hough Transform,
Introduction to pattern and classification, supervised and unsupervised learning, Clustering vs, Bayesian
Decision Theory-Minimum error rate classification Classifiers, discriminant functions. 6(L)

UNIT 6: PATTERN RECOGNITION: The Unsupervised Clustering Algorithm, K-NN, Support Vector
Machine, Neural Networks, Deep Learning- Overview Linear discriminant function-based classifiers-
Perceptron Minimum Mean Squared Error (MME) method, Support Vector machine, Decision Trees.
Unsupervised Methods, Component Analysis and Dimension Reduction, Principal Component Analysis,
Fisher Linear Discriminant analysis. Basics of Clustering, similarity/dissimilarity measures, clustering
criteria. Different distance functions and similarity measures, K-means algorithm. 8(L)

Text Books:
❖ Digital Image Processing, Rafael C. Gonzalez and Richard E. Woods.
❖ Fundamentals of Digital Image Processing – A.K. Jain.
❖ Pattern Recognition Principles, Julius T. Tou and Rafael C. Gonzalez.

Reference Books:
❖ Digital Image Processing – W.K. Pratt

68
❖ Handbook of Image & Video Processing Alan C. Bovik
❖ Digital Image Processing – A. Rosenfeld and A.C. Kak
❖ Image and Video compression standards – V. Bhaskaran & K. Konstantinides
❖ Introduction to data compression – Khalid Sayood
❖ Richard O. Duda, Peter E. Hart, David G. Stork, “Pattern Classification”, 2nd Edition John Wiley
& Sons,2001.
❖ C. Bishop, “Pattern Recognition and Machine Learning”, Springer, 2006.
❖ Sing Tze Bow, M. Dekker, “Pattern Recognition and Image Processing”, 1992.
❖ M. James, “Pattern Recognition”, BSP professional books, 1987.
❖ P. Monique and M. Dekker, “Fundamentals of Pattern Recognition”, 1989.
❖ A Wavelet Tour of Signal Processing, Second Edition (Wavelet Analysis & Its Applications)
Stephane Mallat.
❖ Pattern Recognition Engineering Morton Nadler, Eric P. Smith.
❖ Mathematical Morphology in Image Processing (Optical Science and Engineering) Edward
Dougherty.
❖ Theodoridis S, Koutroumbas K: Pattern recognition, Academic.

SIGNAL COMPRESSION TECHNIQUES (EC17406)


Course outcomes:
On successful completion of the course, the students will be able to:
• Learn the fundamental signal compression techniques.
• Apply compression techniques such as lossless and lossy techniques for different types of signals.
• Understand the computational and implementational issues.
• Understand machine learning based signal compression methods.

UNIT 1: Introduction to Signal Compression, Distortion Measures PSNR, mutual-information, divergence,


and Kullback-Liebler number. 4(L)

UNIT 2: LOSSLESS AND LOSSY CODING: Run Length Encoding, Lempel-Ziv-Welch, Huffman
Coding, Arithmetic Coding, adaptive and predictive, Subband Coding, QMF bank. 8(L)

UNIT 3: Review of uniform and non-uniform Quantization, Vector Quantization, Structured Vector
Quantization, Product Vector Quantization, Differential Coding, Delta Modulation. 5(L)

UNIT 4: TRANSFORM CODING: Wavelets, Multi Resolution Analysis, Compression using DCT, DWT,
KLT. 6(L)

UNIT 5: COMPRESSION STANDARDS: TIFF, PNG, JPEG, JPEG 2000, H264, MPEG, HEVC 2013
same bit rate of HS264. 8(L)

UNIT 6: Sparse Representation, Dictionary Learning Based Approaches, Machine Learning based
compression and its modern applications. 5(L)

Textbooks:
❖ Introduction to data compression, 5th Edition, 2017. Morgan Kaufmann Series in Multimedia
Information and Systems.
❖ Gersho, Allen, and Robert M. Gray, (2012). Vector quantization and signal compression. Vol.
159, Springer Science & Business Media.
Reference Books/Material:
❖ Salomon, David, and Giovanni Motta (2010). Handbook of data compression. Springer
Science & Business Media. Prof. Ajit Rajwade, Digital Image Processing, Department of
Electrical Engineering, Indian Institute of Technology Bombay,
https://www.cse.iitb.ac.in/~ajitvr/CS663_Fall2018/

69
❖ Prof. S.C. Dutta Roy, Digital Signal Processing, NPTEL Course Material, Department of
Electrical Engineering, Indian Institute of Technology, Delhi,
https://nptel.ac.in/courses/117/102/117102060/

Wing: VLSI

VLSI FOR IoT (EC17503)

UNIT 1: Introduction to Internet of Things (IoT): Evolution of Internet of Things, Enabling


Technologies, IoT Architectures, Edge and Cloud in IoT, Functional blocks of an IoT ecosystem, Sensors,
Actuators, Smart Objects and Connecting Smart Objects;
IoT Platform overview: Overview of IoT supported Hardware platforms such as: Arduino, Raspberry pi,
ARM Cortex Processors and Intel Galileo boards; Internal block diagram of Ardno board. 10(L)

UNIT 2: IoT Devices (Sensors, Actuators, and Microcontrollers): Introduction, basic block diagram,
and their interfacing; Ultra-low power VLSI design for IoT; Mixed Signal VLSI Circuits for IoT: data
converters, Integrated Sensors; Smart Sensors and their interfacing circuit. 10(L)

UNIT3: VLSI for IoT Application: Opportunity and Challenges, System on Chips, IoT system building
blocks, Tools for IoT-oriented circuit and system design, Low-cost design approach; Reliability of IoT
VLSI, VLSI Dimension to Create Secure IoT 10(L)

UNIT4: Case Studies/Applications Studies: IoT applications in home, infrastructures, buildings, security,
Industries, Home appliances, other IoT electronic equipment, Sensors interfacing using Raspberry-
pi/Arduino board. 6(L)

Text/Reference Books:

❖ Principles of CMOS VLSI Design–By ‘Neil H.E Weste & Kamran Eshraghian.
❖ CMOS Mixed-Signal Circuit Design - R. Jacob Baker, Wiley Interscience,2009
❖ IoT Fundamentals: Networking Technologies, Protocols and Use Cases for Internet of Things,
David Hanes, Gonzalo Salgueiro, Patrick Grossetete, Rob Barton and Jerome Henry, Cisco Press,
2017.
❖ Internet of Things – A hands-on approach, Arshdeep Bahga, Vijay Madisetti, Universities
Press,2015
❖ Smart sensor systems: Emerging technologies and applications. Meijer, G., Makinwa, K., &Pertijs,
M. (Eds.). (2014), John Wiley & Sons.
❖ Raspberry Pi IoT Projects- Prototyping Experiments for Makers. John C. Shovic (2016). Apress

70
8th Semester (Electronics and Communication Engineering)

Course Code Course name L T P Credit


EC-18601 Major Project/Industrial Internship Placements 0 0 14 14
Total 0 0 14 14

MINOR BASKETS

The following Undergraduate Programs (with Sl. No. 2, 3, 4 and 5) are exclusively applicable for the
students of other Disciplines other than ECE.

2. PROGRAM: B Tech (XXXX) Minor in VLSI Design

Minor courses in VLSI Design will be offered in 3rd ,4th, 5th, 6th, and 7th semesters

MINOR in VLSI Design

Sl. No. Course Code Course Credit Core/Elective


1. EC-13104 Solid State Devices and Circuits 4 Core
2. EC-14101 VLSI Technology 3 Core
3. EC-15104 / Electronic Circuit Design/ 4 Elective-I
EC-15106 VLSI Design
4. EC-16501-16504 Elective-II 3 Elective
5. EC-17501-17503 Elective-III 3 Elective
Total 17

N.B. Course contents and other details of this Program are available in the respective regular
semester courses in B Tech ECE Program.

3. PROGRAM: B Tech (XXXX) Minor in Signal Processing

Minor courses in Signal Processing will be offered in 3rd, 5th, 6th, and 7th semesters

Minor in Signal Processing

Sl. No. Course Code Course Credit Core/Elective


1. EC-13102 Signals & Systems 3 Core
2. EC-15101 Digital Signal Processing 5 Core
3. EC-16104 Digital Image Processing 5 Core
4. EC-16402, EC-17404, EC-17405 Elective-I (B Tech) 3 Elective
Total 16

N.B. Course contents and other details of this Program are available in the respective regular
semester courses in B Tech ECE Program.

71
4. PROGRAM: B Tech (XXXX) Minor in Digital Systems

Minor courses in Digital Systems will be offered in 3rd,5th, 6th, and 7th semesters.
Minor in Digital Systems
Sl. No. Subject Code Subject Credit Core/Elective
1. EC-XXXXX Digital Electronics 4 Core
2. EC-13103 Microprocessor and its Applications 5 Core
3. EC-15102 Computer Architecture 4 Core
4. EC-15401, EC-17401, EC-17402, Elective-I (B Tech) 3 Elective
EC-XXXXX*
Total 16

N.B. Course contents and other details of this Program are available in the respective semester
courses in B Tech ECE Program.

EC-XXXXX*: Embedded Systems

EMBEDDED SYSTEMS
Course objectives:
After the completion of the course, the students will be able to:
• To understand the basic concepts of Embedded Systems.

• To understand the basic concepts of microcontrollers.

• To understand and program 8051 microcontrollers in C.

• To learn the interfacing of real-world devices and their control.

Unit 1: Introduction to Embedded systems: Introduction, Categorization of Embedded Systems, CISC &
RISC, Harvard & Princeton Architecture, Selection of Processor and Memory for Embedded Systems, I/O
Devices, Memory Optimization, Power Saving and Embedded Software design. (7)
Unit 2: 8-bit Microcontrollers: Introduction to MCS-51 Family, Programming model of 8051, Addressing
modes, Programming in C. (7)
Unit 3: Architectural Features: SFRs, Power Saving Modes, 8051 I/O Ports and its programming in C,
On-Chip Timers, Operating Modes and Programming in C, 8051 Interrupts, Writing ISRs in C, UART. (8)

Unit 4: Interfacing & Applications: Interfacing of Serial Switches, LEDS, LCDs Modules, RTC
Interfacing, Motor Interfacing, Interfacing of Temperature Sensors, Light Sensors etc. (8)

Unit 5: Example of Application Development: Development of Temperature Monitor, Development of


Digital Watch etc. (4)
Text/Reference Books:

❖ Raj Kamal, Embedded System Architecture, Programming and Design, 2nd Ed, Tata McGraw Hill.
❖ M.A. Mazidi, J.G. Mazidi, R.D. McKinlay, The 8051 Microcontrollers and Embedded Systems:
Using Assembly and C, 2nd Ed, Pearson Education.
❖ K. J. Ayala, The 8051 Microcontrollers Architecture Programming & Applications, 2nd Ed, Penram
International.

72
5. PROGRAM: B Tech (XXXX) Minor in Communication Systems

Minor courses in Communication Systems will be offered in 3rd ,4th, 5th, 6th, and 7th semesters
Minor in Communication Systems

Sl. No. Subject Code Subject Credit Core/Elective


1. EC-13102 Signals & Systems 03 Core
2. EC-14102 Digital Communication 04 Core
3. EC-15103 Data Communication Networks 03 Core
4. EC-15105 Optical Communication 03 Core
5. EC-16102 RF and Microwave Engineering 04 Core
6. EC-17101 Mobile & Wireless Communication 03 Core
Total 20/16

N.B. Course contents and other details of this Program are available in the respective semester
courses in B Tech ECE Program.

6. PROGRAM: B Tech Honours (Electronics and Communication Engineering)

Honours Programme courses will be offered in 5th, 6th, and 7th semesters
This Undergraduate Program is applicable to ECE students only.

Sl. No. Subject Code Subject Credit Core/Elective


1. EC-XXXX Adaptive Signal Processing 4 Core
2. EC-XXXX Advanced Optical Communication 4 Core
3. EC-XXXX VLSI Circuits and Systems 4 Core
4. EC-XXXX Statistical Signal Processing, Analog IC Design, 4 Elective I
Information and Coding
5. EC-XXXX Multidimensional Digital Signal Processing, Low 4 Elective II
Power VLSI Design, Antenna Design and MIMO
Systems
Total 20

N.B. Course contents and other details of this Honours Program are available in the respective
semester courses in M Tech Communication Systems, M Tech Signal Processing and M Tech Micro
Electronics and VLSI Design Programs.

73
RESEARCH BASKET

The following Undergraduate Programs (with Sl. No. 7, 8 and 9) are applicable to students of ECE
Discipline only.

7. PROGRAM: B Tech (Electronics and Communication Engineering) with Research (in VLSI
Design)

The Research courses in VLSI Design will be offered in 5th,6th, and 7th semesters.

Sl. Course Code Course L T P Credits Core/Elective


No.
1. ECXXXXX/EC21101 Semiconductor Devices and Modeling 3 1 0 04 Core
2. ECXXXXX/EC22102 VLSI Circuits and Systems 3 1 0 04 Core
3. EC 21301-21305/ M Tech Microelectronics and VLSI Design 3 1 0 04 Elective
EC 21306-21310 Elective-I/II
4. EC 22301-22305/ M Tech Microelectronics and VLSI Design 3 1 0 04 Elective
EC 22306-22309 Elective-IV/V
5. EC-XXXXX Research Project 04 Elective
Total 20

N.B. These specialization courses in B Tech Research (Electronics and Communication Engineering,
VLSI Design) Program are of M Tech Microelectronics and VLSI design Program.

SEMICONDUCTOR DEVICES AND MODELLING (ECXXXXX/EC21101)

Introduction & review of Diodes and Transistor (BJT) modelling, semiconductor surfaces, ideal MOS,
real/non-ideal MOS, band diagrams, 2-terminal MOS, 3-terminal MOS, MOS as a capacitor, C-V
characteristics, flat-band, threshold voltage, electrostatics of a MOSC, mobility, MOSFET, I-V
characteristics, scaling, short channel and narrow channel effects- high field effects, MOS transistor in
dynamic operation, large signal modeling, small signal model for low, medium and high frequencies,
SOI devices, Multi-gate SOI MOSFETs, alternate MOS structures.
Text Books/Reference Books:

❖ B. G. Streetman, Solid State Electronics Devices, Prentice Hall, 2002


❖ Y. Taur, T.H.Ning, Fundamentals of Modern VLSI Devices, Oxford
❖ Yannis Tsividis, Colin McAndrew, Operation and Modelling of the MOS Transistor,
Oxford, Third Edition
❖ James B. Kuo and Ker-Wei Su, CMOS VLSI Engineering Silicon-on-Insulator (SOI),
Springer
❖ Jean-Pierre Colinge, FinFETs and Other Multi-Gate Transistors, Springer 2007
❖ S.M. Kang and Y. Leblevici, CMOS Digital Integrated Circuits Analysis and Design,
TMH,Third edition

74
❖ Behzad Razzavi, Microelectronics

VLSI CIRCUITS AND SYSTEMS (EC22102)

Designing High-Speed CMOS Logic Networks: Gate delays, driving large capacitive loads,
logical effort, optimizing number of stages, branching, BiCMOS drivers.
Advanced Techniques in CMOS Logic Circuits: Mirror circuits, Pseudo-nMOS, domino
logic, adiabatic logic, tri-state circuits, clocked CMOS, dynamic CMOS logic Circuits, dualrail
logic networks.
System Specifications using Verilog Codes: Basic concepts, structural, gate level modeling,
switch level modeling, design hierarchies, behavioral, dataflow modeling and RTL.
General VLSI System Components using Verilog Codes: Multiplexers, binary decoders,
equality detectors and comparators, priority encoder, shift and rotation operations, latches, D
flip-flop, registers and their structural description using Verilog.
Arithmetic Circuits in CMOS VLSI using Verilog Codes: Bit adder circuits, ripple-carry
adders, carry look-ahead adders, other high-speed adders, and their Verilog implementation,
Booth algorithm and Booth encoded digit operations and array multipliers.
Reliability and Testing of VLSI Circuits: General concepts, reliability modeling and
performance metrices, CMOS testing, test generation methods: logical effects of faults, the D-
Algorithm, path sensitization and basic networks for deriving the boolean difference.
References:
1. Neil H. E. Weste and David M. Harris, CMOS VLSI Design: A Circuits and Systems
Perspective, Addison-Wesley
2. John P. Uyemura, Introduction to VLSI Circuits and Systems, John Wiley & Sons, INC.
3. Neil H. E. Weste and Kamran Eshraghian, Principles of CMOS VLSI Design, Addison-
Wesley, MA

Professional Electives (From M Tech Program)

Elective I

1. EC21301 Analog IC Design


2. EC21302 VLSI CAD
3. EC21303 System on Chip
4. EC21304 VLSI for Telecommunications
5. EC21305 MEMS and Integrated Sensors

75
Elective II

1. EC21307 Nanoelectronic Devices and Engineering


2. EC21308 Reconfigurable Hardware Design
3. EC21309 Architectural Design of ICs
4. EC21310 VLSI for Signal Processing

Elective IV

1. EC22301 Advanced Analog Design


2. EC22302 Compound Semiconductor and Applications
3. EC22303 Mixed System IC Design
4. EC22304 Low Power VLSI Design
5. EC22305 RF IC Design

Elective V

1. EC22306 Embedded Systems


2. EC22307 Mixed Mode Signal Processing
3. EC22308 ASIC Design
4. EC22309 VLSI Testing and Testable Design

ANALOG IC DESIGN (EC21301)

Basic MOS Device Physics: A review, single-stage amplifiers, basic concepts, common sourcestage,
types of load source follower, common-gate stage, cascode stage folded cascode. Differential amplifier
- single-ended and differential operation, basic differential pair: quantitative and qualitative analysis,
common-mode response differential pair with MOS loads, Gilbert cell.
Current mirrors and references, basic current mirrors, cascode current mirrors, advanced current
mirrors, active current mirrors: large-signal and small-signal analysis, basic voltage and current
references.
Operational amplifiers: performance parameters, one-stage Op-amps, two-stage Op-amps, current
conveyer.
Operational transconductance amplifier, current feedback amplifier.
Textbooks/Reference Books:
1. Behzad Razavi, Design of Analog CMOS Integrated Circuits
2. Allen and Holberg, CMOS Analog Circuit design
3. Gray, Hurst, Lewis and Meyer, Analysis and Design of Analog CMOS Integrated
Circuits

76
VLSI CAD (EC21302)

Hierarchical view of VLSI design, architectural design, high level synthesis, scheduling, data path
synthesis, logic synthesis, minimization techniques, circuit design and simulation, layout synthesis,
placement and routing, DRC, silicon compiler, array processors.

Textbooks/Reference Books:

1. M. Sarrafzadeh and C. K. Wong, An introduction to physical design, McGrew Hill


2. Naveed Sherwani, Algorithm for VLSI Design Automation, Springer
3. S. M. Sait and H. Youssef, VLSI Physical design automation: theory and practice,World
Scientific Pub. Co.

SYSTEM ON CHIP (EC21303)

Introduction to digital system and VLSI design, design techniques, fabrication processes and steps,
wires and vias, design rules and layout. Logic gates, static complementary gates, nonconventional logic
circuits, low power gate circuits, delay through interconnect. Combinational logic network, network
delay, logic and interconnect design, power optimization and logic testing. Sequential machines, latches
and flip-flops, clocking techniques, sequential system design, optimization, validation and testing.
Chip design: design methodologies, timing specifications, architecture design layout with validation,
data paths.
Textbooks/Reference Books:

1. Wayne Wolf, Modern VLSI Design, Third Edition


2. Neil Weste, Principles of CMOS VLSI Design

77
VLSI FOR TELECOMMUNICATIONS (EC21304)

Building blocks of signal processing systems, dedicated architectures, architecture of a transceiver,


front end ICs for wireless systems, direct conversion receivers, processors for cellular telephony, chip
sets for GSM and CDMA for various protocols, chipsets for satellite TV receiver, ICs for digital TV
and image compression, ICs for fibre optic communication, ATM switching, ICs for error correction
and detection.
Textbooks/Reference Books:
1. Y. Tsividis, P. Antognetti, Design of MOS VLSI Circuits for Telecommunications,
Prentice-Hall

2. Bosco Leung, VLSI for Wireless Communication, 2nd Edition, Springer

MEMS AND INTEGRATED SENSORS (EC21305)

Introduction to MEMS, MEMS technologies, applications, micromachining- surface and bulk,MEMS


processes, principle of sensors, smart sensors, temperature sensors, pressure and straingauges, optical
sensors, PH sensors, on-chip integration of sensors, micropower Opamps, BIMOS chips for analog and
digital functions, micromachined actuators, flow sensors, accelerometers, gyro, biomedical and process
control chips with integrated sensors.

Textbooks/Reference Books:

1. Elena Gaura, Smart MEMS and Sensor Systems, Imperial College Press
2. James J. Allen, Micro electro Mechanical System Design, CRC Press
3. Vijay K. Vardan, K.J. Vinoyn and K. A. Jose, RF MEMS and their Applications, Wiley

78
NANOELECTRONIC DEVICES AND ENGINEERING (EC21307)

Shrinking of device dimensions from micrometres to nanometres, limitations of conventional devices,


quantum mechanics of nanometric structures, concept of quantum wells, quantum wires and quantum
dots, fundamentals of carrier transport in quantum structures, temperatureeffects, MODFETs, HBTs
and other ultra-high-performance devices for future ULSI, super lattices, resonant tunneling
phenomena, opto-electronic interactions in quantum structures, quantum lasers, single-carrier devices,
formation of quantum structures, elements of nanoelectronic device processing.
Textbooks/Reference Books:

1. Paul Harrison, Quantum wells, wires and dots: Theoretical and Computational
Physics of Semiconductor Nanostructures, Second Edition, Wiley-Interscience
2. Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices, Oxford
3. S. M. Sze, Physics of Semiconductor Devices, Wiley
4. Yannis Tsividis, Colin McAndrew, Operation and Modelling of the MOS Transistor,Oxford,
Third Edition
5. B. G. Streetman, Solid State Electronics Devices, Prentice Hall
6. Mark Lundstrom, Jing Guo, Nanoscale Transistor Device Physics, Modeling and
Simulation, Springer

7. Mark Lundstrom, Fundamentals of carrier transport, Cambridge


8. Jean-Pierre Colinge, FinFETs and Other Multi-Gate Transistors, Springer 2007

79
RECONFIGURABLE HARDWARE DESIGN (EC21308)

Introduction to reconfigurable design, objectives, advantages and performance issues,


classification/types of reconfigurability, details of logic reconfiguration, instruction set reconfiguration,
static vs dynamic reconfiguration, full or partial reconfiguration, fine grained, medium grained and
coarse grained reconfiguration. Hardware vs software configurability and reconfigurability. Flow of
reconfigurable design including synthesis, program execution and reconfigurable processor,
reconfigurable instruction cell array. Algorithms related to different design steps of reconfigurable
architecture. Fault covering problem in reconfigurable VLSI, fault covers in heterogeneous and general
arrays. Fault diagnosis in reconfigurable VLSI and WSI processors arrays. Reconfigurable architecture
design for different applications includingDSP and Communication. Testability for reconfigurable VLSI
architecture. Network on Chips(NOC).
Textbooks/Reference Books:

1. P. -E. Gaillardon, Reconfigurable Logic: Architecture, Tools, and Applications, CRCPress

2. John V. Oldfield and Richard C. Dorf, Field-Programmable Gate Arrays: Reconfigurable


Logic for Rapid Prototyping and Implementation of Digital Systems,
Wiley Pub
3. Scott Hauck and André DeHon, Reconfigurable Computing: The Theory and
Practice of FPGA-Based Computation

80
ARCHITECTURAL DESIGN OF ICS (EC21309)

Introduction, general design methodologies, Datapath synthesis, mapping algorithms intoarchitectures,


control strategies, concepts of system analysis, hardware implementation of various control structures,
microprogram control techniques, implementation of simple and nested subroutine calls, timing
considerations, worst case system speed calculation, pipelinedand parallel architectures, latency and
throughput, dependency and dataflow, fault tolerance, fault-tolerant architectures.
Textbooks/Reference Books:

1. Sajjan G. Shiva, Computer Organization, Design and Architecture, 5th edition, CRCPress
Taylor and Fransis group
2. Sung Kyu Lim, Design for High Performance Low Power and Reliable 3D Integrated
Circuits, Springer

VLSI FOR SIGNAL PROCESSING (EC21310)

VLSI implementation and design issues related to discrete fourier transform, digital filter design
techniques, computation of discrete fourier transform, discrete Hilbert transform, discrete random
signals, effect of finite register length in digital signal processing, homomorphic signal processing,
power spectrum estimation. Design issues related to VLSI forsignal processing.
Textbooks/Reference Books:

1. Jose Epifanio Franca and Yannis Tsividis, Design of Analog-Digital VLSI Circuitsfor
Telecommunications and Signal Processing, Second Edition, Prentice Hall

2. Keshab K. Parhi, VLSI Digital Signal Processing Systems: Design and


Implementation, First Edition, Wiley-Interscience

3. Richard J. Higgins, Digital signal processing in VLSI, Prentice Hall

81
8. PROGRAM: B Tech (Electronics and Communication Engineering) with Research (in Signal
Processing)

The Research courses in Signal Processing will be offered in 5th,6th, and 7th semesters.

Sl. No. Course Code Course L T P Credit Core/Elective


1. EC-21106 Advances in Digital Signal Processing 3 1 0 04 Core
2. EC-22105 DSP Processors and Architecture 3 1 0 04 Core
3. EC-22106 Adaptive Signal Processing 3 1 0 04 Core
4. EC-XXXX M Tech Signal Processing Elective-I/II/IV/V 3 1 0 04 Elective
5 EC-XXXX Research Project 04 Elective
Total 20

N.B. The specialization courses in B Tech Research (Electronics and Communication Engineering,
Signal Processing) Program are of M Tech Signal Processing Program.

ADVANCES IN DIGITAL SIGNAL PROCESSING (EC21106)

Introduction and Review: Basic concepts and examples of Digital Signal Processing, overview of
typical Digital Signal Processing in real-world applications. Sampling and Reconstruction of Signals:
Sampling of band-pass signals, analog-to-digital and digital- to analog conversions. Realization of
digital linear systems: Basic structures for IIR and FIR filters. Design of IIR and FIR filters.

Multirate Digital Signal Processing: Introduction, decimation by a factor D, interpolation bya factor
I, sampling rate conversion by a rational factor I/D, filter design and implementation for sampling rate
conversion, multistage implementation of sampling rate conversion, samplingrate conversion of band-
pass signals, sampling rate conversion by an arbitrary factor, applications.

Linear Prediction and Optimum Linear Filters: Representation of a random process,forward and
backward linear prediction, solution of normal equations, properties of the linear error-prediction filters,
AR lattice and ARMA lattice-ladder filters, Wiener filters for filteringand prediction.

Power Spectrum Estimation: Estimation of spectra from finite-duration observations of signals, non-
parametric and parametric methods for power spectrum estimation, minimum variance spectral
estimation, eigen analysis algorithm for spectral estimation.

Hardware and Software for Digital Signal Processors: Digital signal processor architecture and
hardware units, fixed-point and floating-point formats.

Textbooks/Reference Books:

1. John G. Proakis and Dimitris G. Manolakis, Digital Signal Processing, 3rd Edition,
Pearson, 2003.
2. Li Tan, Digital Signal Processing – Fundamentals and applications, Elsevier, 2008.
3. Paulo S. R. Diniz, Eduardo A. B. da Silva and Sergio L. Netto, Digital Signal
Processing: System Analysis and Design, Cambridge University Press, 2002.
4. Sanjit K. Mitra, Digital Signal Processing - A Computer Based Approach, TataMcGraw Hill,
2001.

82
DSP PROCESSORS AND ARCHITECTURE (EC22105)

Introduction to Digital Signal Processing: A Digital Signal Processing System, The Sampling
Process, The Convolution Theorem, The DFT and FFT, Decimation and Interpolation, Number formats
for signals and coefficients in DSP systems, Dynamic Range and Precision, Sources of error in DSP
implementations, A/D Conversion errors, DSP Computational errors, D/ A Conversion Errors.

Introduction of DSP Processors: Need for DSP Processors, MAC, Modified Bus Structures,Memory
access schemes, Harvard architecture, VLIW architecture, On-chip peripherals, Addressing modes,
Concept of Pipelining. Issues in Real Time DSP applications, Fixed point and Floating point Processors.

Programmable Digital Signal Processors : TMS320C54x Architecture, Interrupts and Interrupt


Vector, TMS320C54x Peripherals, External Memory Interface, Direct MemoryAccess, Multi-Channel
Buffered Serial Ports, Clock Generator and Timers, General Purpose Input/output Port, TMS320C54x
Addressing Modes, Direct Addressing Modes, Pipeline, Instruction Set, Assembly Language
Programming, Assembly Code Generation by C Compiler, Mixed C-and-Assembly Language
Programming, Phase-Locked Loop and Timers, Direct Memory Access.

Implementation of Basic DSP Algorithms: Introduction, The Q-notation, FIR Filters, IIR Filters,
Interpolation and Decimation Filters. An FFT Algorithm for DFT Computation,Overflow and Scaling,
Bit-Reversed Index Generation & Implementation on the TMS32OC54xx.

Interfacing of Memory and Parallel I/O Peripherals to DSP Device: Introduction, MemorySpace
Organization, External Bus Interfacing Signals. Memory Interface, Parallel I/O Interface, Programmed
I/O, Interrupts and Direct Memory Access (DMA) interface.

Textbooks/Reference Books:

1. B. Venkataramani & M. Bhaskar, Digital Signal Processor, Architecture, Programmingand


Applications,(2/e), McGraw- Hill,2010
2. S. Srinivasan & Avtar Singh, Digital Signal Processing, Implementations using DSP
Microprocessors with Examples from TMS320C54X, Brooks/Cole, 2004.

3. K Padmanabhan, R. Vijayarajeswaran, A Practical Approach to Digital SignalProcessing,


New Age International, 2006/2009
4. Sen M. Kuo & Woon-Seng S. Gan, Digital Signal Processors: Architectures,
Implementations, and Applications, Prentice Hall, 2004

5. C. Marven & G. Ewers: A Simple approach to digital signal processing, Wiley Interscience,
1996.

83
ADAPTIVE SIGNAL PROCESSING (EC22106)

Introduction to Adaptive filtering: Introduction to stochastic processes, linear adaptive filterstructure,


real and complex forms of adaptive filter, non-linear adaptive filter, adaptation approaches: Wiener
filter theory, method of least squares.

Optimal Wiener filtering and Kalman filtering: Mean-square error criterion, linear optimumfiltering,
principle of orthogonality, Wiener-Hopf equation, error performance surface, numerical examples,
channel equalization, linear constrained minimum variance filter. Kalmanfiltering problem, estimation
of state using innovation, variance of Kalman filtering, extendedKalman filtering.

Linear Adaptive filtering: Method of steepest descent, stability of steepest descent, least meansquare
algorithm, adaptive prediction, adaptive equalization, robustness of LMS algorithm, block adaptive
filter, fast LMS algorithm, unconstrained frequency-domain adaptive filtering,methods of least squares.

Lattice filters: Forward linear prediction, backward linear prediction, prediction error filters, derivation
of the lattice structure, all-pole lattice structure, pole-zero lattice structure, adaptivelattice structure,
autoregressive modelling.

Recursive least squares (RLS): Matrix inversion lemma, weighted recursive least squares algorithm,
adaptive noise canceller, convergence analysis of RLS algorithm, adaptive equalization, state-space
formulation of RLS problem, adaptive beamforming, order recursiveadaptive filter.

Non-linear Adaptive filtering: Introduction to blind de-convolution, back-propagation learning, radial


basis function learning, stochastic gradient approach, Markov model, singularvalue decomposition.

Applications of Adaptive Signal Processing: Adaptive modeling and system identification, inverse
adaptive modeling, adaptive interference canceling, adaptive arrays and adaptive beam-forming.

Textbooks/Reference Books:
1. Bernard Widrow and Samuel D. Stearns, Adaptive Signal Processing, PersonEducation, 2005.
2. Simon Haykin, Adaptive Filter Theory, Pearson Education, 2003.
3. John R. Treichler, C. Richard Johnson, Michael G. Larimore, Theory and Design of
Adaptive Filters, Prentice-Hall of India, 2002
4. S. Thomas Alexander, Adaptive Signal Processing - Theory and Application, Springer-Verlag.
5. D. G. Manolokis, V. K. Ingle and S. M. Kogar, Statistical and Adaptive Signal
Processing, Mc Graw Hill International Edition, 2000.
6. Ali H. Sayed, Fundamentals of Adaptive Filtering, Wiley, 1st Ed., 2003.
7. Farhang-Boroujeny B., Adaptive Filters Theory and Applications, John Wiley & Sons,
1st Ed., 1998.
8. Mohamed Ibnkahla (Edited), Adaptive Signal Processing in Wireless Communications,
CRC Press, Taylor & Francis Group, 1st Ed., 2009.

84
Elective I

1. EC21341 Digital IC Design


2. EC21303 System on Chip
3. EC21309 Architectural Design of ICs
4. EC21342 Microprocessor based System Design
5. EC21343 Solid State Circuits
6. EC21344 Digital Hardware Design
7. EC21123 Optimization Techniques
8. EC21345 Statistical Signal Processing

Elective II

1. EC21325 Random Theory, Stochastic Process and Queueing Theory


2. EC21308 Reconfigurable Hardware Design
3. EC21346 Digital Transmission
4. EC21347 Advanced Computer Networks
5. EC21348 Mobile Communication
6. EC21349 Switching and Finite Automata Theory
7. EC21350 Expert Systems

85
Elective IV

1. EC22306 Embedded Systems


2. EC22308 ASIC Design
3. EC22341 Interactive Computer Graphics
4. EC22342 Mobile Computing
5. EC22325 Detection and Estimation Theory
6. EC22243 Advanced Computer Architecture
7. EC22344 Multidimensional Digital Signal Processing

Elective V
1. EC22345 VLSI Circuits and Systems
2. EC22322 Image Processing and Pattern Recognition
3. EC22346 Information Theory and Coding
4. EC22309 VLSI Testing and Testable Design
5. EC22347 Computer Network Performance and Modelling
6. EC22348 VLSI for Signal Processing
7. EC22349 Digital Control

DIGITAL IC DESIGN (EC21341)

Basic electrical properties of MOS circuits: MOS transistor operation in linear and saturated regions,
MOS transistor threshold voltage, MOS switch and inverter, latch-up in CMOS inverter, sheet resistance
and area capacitances of layers, wiring capacitances, CMOS inverterproperties - robustness, dynamic
performance, regenerative property, inverter delay times, switching power dissipation, cross talk,
combinational logic design in CMOS. MOSFET scaling - constant-voltage and constant-field scaling,
dynamic CMOS design: steady-state behavior of dynamic gate circuits, noise considerations in dynamic
design, charge sharing, cascading dynamic gates, domino logic, NP-CMOS logic, problems in single-
phase clocking, two-phase non-overlapping clocking scheme, Subsystem design: design of arithmetic
buildingblocks like adders - static, dynamic, Manchester carry-chain, look-ahead, linear and square-
root carry-select, carry bypass and pipelined adders and multipliers - serial-parallel Braun, Baugh-
Wooley and systolic array multipliers, barrel and logarithmic shifters, areatime tradeoff, power
consumption issues, designing semiconductor memory and array structures: memory core and memory
peripheral circuitry. Virtual and high speed memory design. Custom cell based design. Digital circuit
testing and testability.

Textbooks/Reference Books:

1. J. M. Rabaey, A. Chandrakasan and B. Nikolic, Digital Integrated Circuits- A Design


Perspective
2. S. M. Kang and Y. Leblevici, CMOS Digital Integrated Circuits Analysis and Design
3. N. H. E. Weste and K. Eshraghian, Principles of CMOS VLSI Design - a System
Perspective
4. Mead and Convay, Introduction to VLSI Systems
5. W. Wolf, Modern VLSI Design - System on Chip design
6. R. Jacob Baker, CMOS Circuit Design, Layout, and Simulation

86
SYSTEM ON CHIP (EC21303)

Introduction to digital system and VLSI design, design techniques, fabrication processes andsteps,
wires and vias, design rules and layout. Logic gates, static complementary gates, nonconventional
logic circuits, low power gate circuits, delay through interconnect. Combinational logic network,
network delay, logic and interconnect design, power optimization and logic testing. Sequential
machines, latches and flip-flops, clocking techniques, sequential system design, optimization,
validation and testing. Chip design: Design methodologies, timing specifications, architecture
design layout withvalidation, data paths.

References:
1. Wayne Wolf, Modern VLSI Design, Third Edition
2. Neil Weste, Principles of CMOS VLSI Design

ARCHITECTURAL DESIGN OF ICS (EC21309)

Introduction, general design methodologies, datapath synthesis, mapping algorithms intoarchitectures,


control strategies, concepts of system analysis, hardware implementation of various control structures,
microprogram control techniques, implementation of simple and nested subroutine calls, timing
considerations, worst case system speed calculation, pipelined and parallel architectures, latency and
throughput, dependency and dataflow, fault tolerance, fault-tolerant architectures.

Textbooks/Reference Books:
1. Sajjan G. Shiva, Computer Organination, Design and Architecture, 5th edition, CRCPress
Taylor and Fransis group
2. Sung Kyu Lim, Design for High Performance Low Power and Reliable 3D Integrated
Circuits, Springer

MICROPROCESSOR BASED SYSTEM DESIGN (EC21342)

Review of 8086 & Programming through PC: BIU & EU, addressing modes & programming and
CPU module design: Bus buffering and latching, fully buffered systems, bus timings, read & wait etc.
Use of memory models, realization of array structures, display screen & keyboard processing with INT
and BIOS functions calls, .COM and .EXE programs,use of macros, LOCAL, EXTRN and PUBLIC.

Interfacing with 8086: Memory Interfacing: physical memory organization, memory interfacing,
parity error detection & correction, DRAM interfacing.
I/O Interfacing & Interrupts: Interfacing of 8255 PPI, interfacing of 8253/8254 timer devices, The 8279
controller, keyboard formats & display modes, interfacing keypad and alphanumericdisplays, interrupt
response of 8086, interfacing 8259 priority interrupt controller, interrupt modes, Master/Slave
configuration.

DMA & Serial Communication Interface: DMA data transfer, interfacing 8237 DMA controller and
DMA modes, serial communication formats and protocols, interfacing 8251 USART operating modes,
modem control, serial transfer between two 8086 single board microcomputers.

Measurement/Instrumentation: Interfacing A/D converters, analog multiplexers, sample and hold,

87
D/A converters, measurement of frequency, measuring KWH, power factor, measurement & display
of motor speed, microcomputer based smart scale etc.

Industrial Process Control: Overview, liquid level monitoring & control, microprocessor based
protective relays, temperature control in vacuum furnaces, servo motor control etc.

Textbooks/Reference Books:
1. D.V. Hall, Microprocessors and Interfacing, 2nd Ed, TMH
2. Liu & Gibson, Microcomputer Systems: The 8086/8088 Family Architecture,
Programming and Design, 2nd Ed, PHI
3. Barry B Brey, The Intel Microprocessors 8086/8088, 80186/80188, 80286, 80386,
Pentium, and Pentium Pro Processors, PHI
4. Jan Axelson, Serial Port Complete Programming & Circuits for RS-232 and RS-485Links
and Networks, Penram International
5. Peter Abel, IBM PC Assembly Language and Programming, 3rd Ed, PHI
6. The Intel Handbook of peripheral devices

SOLID STATE CIRCUITS (EC21343)

CMOS analog circuits: current sources and sinks, referenced biasing, Differential amplifiers
performance characteristics: source coupled, cross coupled, cascode loads, Operational amplifiers:
Basic CMOS Op-amp design, Analog devices and multipliers: CFAs and OTAs, CMOS digital circuits:
Inverters, Ring oscillators, static logic gates, dynamic logic gates, passtransistor logic, low & high
power circuits, phase Lock techniques, PLL design parameters & systems, data converter fundamentals
and architectures.

References:

1. R. Jacob Baker, Harry- W.Li and David E. Boyce, CMOS Circuit Design Layout &
Simulation, PHI, India
2. Sung - Mo Kang & Yusuf Leblebici, CMOS Digital Integrated Circuits, Analysis &Design,
3rdEditionTMH
3. Gray, P.R. & R.G. Meyer, Analysis & Design of Analog Integrated Circuits, 2nd
Edition, John Wiley & Sons 1993
4. Franco, S. Design With Operational Amplifiers & Analog Integrated Circuits,McGraw
Hill, New York 1988

DIGITAL HARDWARE DESIGN (EC21344)

Combinational and Sequential Logic: Review of POS and SOP minimization, multi outputfunction,
variable entered mapping, computer arithmetic, ASM, FSM, shift register, timing and triggering, clock
skew, device technologies, system representation, levels of abstraction,development tasks and EDA
software, development flow.

Hardware Description Languages: Hardware description languages, basic VHDL concept, basic
language constructs of VHDL, concurrent signal assignment statements of VHDL, sequential
statements of VHDL, synthesis of VHDL code.

Circuit Design with VHDL: Combinational circuit design, sequential circuit design, finite state
machine, register transfer methodology, hierarchical design in VHDL, clock and synchronization.

88
Microprocessor Design using VHDL: Data path design, control unit design, example of dedicated
processor (GCD), general purpose processor design.

FPGA based Design: Fundamental concept of FPGA, architecture of FPGA, FPGA programming,
schematic and HDL based design flow, serial communication, memory, digital filters, DSP based
design, IP.
References:
1. W. I. Fleccher, An Engineering approach to Digital Design
2. Zvi Kohavi, Switching and finite automata theory
3. Hwang, Digital logic and microprocessor design with VHDL
4. C H Roth, Digital System design using VHDL
5. P P Chu, RTL Hardware design using VHDL
6. Perry and Perry, VHDL programming by example

OPTIMIZATION TECHNIQUES (EC21323)

Introduction and Basic Concepts: Historical development, Engineering applications ofoptimization,


art of modelling, Objective function, constraints and constraint surface; formulation of design problems
as mathematical programming problems, classification of optimization problems, Optimization
techniques – classical and advanced techniques.

Optimization using Calculus: Stationary points, functions of single and two variables; Globaloptimum
convexity and concavity of functions of one and two variables, Optimization of function of one variable
and multiple variables, Gradient vectors, Examples, Optimization of function of multiple variables
subject to equality constraints, Lagrangian function, Optimization of function of multiple variables
subject to equality constraints, Hessian matrix formulation, eigen values, Kuhn-Tucker Conditions,
Examples.

Linear Programming: Standard form of linear programming (LP) problem, Canonical form of LP
problem, assumptions in LP Models, elementary operations, graphical method for two variable
optimization problem, Examples, motivation of simplex method, simplex algorithm and construction of
simplex tableau, simplex criterion, minimization versus maximization problems, revised simplex
method; duality in LP, primal-dual relations, dual simplex method,sensitivity or post optimality analysis,
other algorithms for solving LP problems – Karmarkar’sprojective scaling method.

Linear Programming Applications: Use of software for solving linear optimization problems using
graphical and simplex methods, Examples for transportation, assignment, water resources, structural
and other optimization problems.

Dynamic Programming: Sequential optimization, representation of multistage decision process, types


of multistage decision problems, concept of sub optimization and the principle of optimality, recursive
equations – forward and backward recursions, computational procedurein dynamic programming (DP).
Dynamic Programming Applications: Discrete versus continuous dynamic programming, multiple state
variables; curse of dimensionality in DP.

Dynamic Programming Applications: Problem formulation and application in design of continuous


beam and optimal geometric layout of a truss.

Integer Programming: Integer linear programming, concept of cutting plane method. Mixedinteger
programming, solution algorithms, Examples.

89
Advanced Topics in Optimization: Piecewise linear approximation of a nonlinear function, Multi
objective optimization – Weighted and constrained methods, Multi level optimization, Direct and
indirect search methods. Evolutionary algorithms for optimization and search.

Textbooks/Reference Books:
1. S. S. Rao, Engineering Optimization: Theory and Practice, New Age International PLtd.,
2000.
2. G. Hadley, Linear programming, Narosa Publishing House, New Delhi, 1990.
3. H. A. Taha, Operations Research: An Introduction, 5th Edition, Macmillan, New York,1992.
4. K. Deb, Optimization for Engineering Design-Algorithms and Examples, PrenticeHallof India
Pvt. Ltd., 1995.
5. K. Srinivasa Raju and D. Nagesh Kumar, Multicriterion Analysis in Engineering and
Management, PHI Learning Pvt. Ltd.

STATISTICAL SIGNAL PROCESSING (EC21345)

Review of random variables: distribution and density functions, moments, independent, uncorrelated
and orthogonal random variables, Vector-space representation of Random variables, Schwarz
Inequality Orthogonality principle in estimation, Central Limit theorem, Random process, stationary
process, autocorrelation and autocovariance functions, Spectral representation of random signals,
Wiener Khinchin theorem, properties of power spectral density, Gaussian Process and White noise
process.

Linear System with random input, Spectral factorization theorem and its importance, innovation process
and whitening filter.

Random signal modelling: MA(q), AR(p) , ARMA(p,q) models. Parameter Estimation Theory: Principle
of estimation and applications, properties of estimates,unbiased and consistent estimators, MVUE, CR
bound, Efficient estimators, Criteria of estimation: the methods of maximum likelihood and its properties,
Bayesian estimation: MeanSquare error and MMSE, Mean Absolute error, Hit and Miss cost function and
MAP estimation.

Estimation of signal in presence of White Gaussian Noise (WGN) Linear Minimum MeanSquare Error
(LMMSE) Filtering: Wiener Hoff Equation FIR Wiener filter, Causal IIR Wiener filter, Non-causal IIR
Wiener filter Linear Prediction of Signals, Forward and Backward Predictions, Levinson Durbin
Algorithm, Lattice filter realization of prediction errorfilters.

Spectral analysis: Estimated autocorrelation function, periodogram, Averaging the periodogram


(Bartlett Method), Welch modification, Blackman and Tukey method of smoothing periodogram,
Parametric method, AR(p) spectral estimation and detection ofHarmonic signals, MUSIC algorithm.

Textbooks/Reference Books:
1. M. Hays, Statistical Digital Signal Processing and Modelling, John Willey and Sons,1996.
2. M. D. Srinath, P. K. Rajasekaran and R. Viswanathan, Statistical Signal Processingwith
Applications, PHI, 1996.
3. D. G. Manolakis, V. K. Ingle and S. M. Kogon, Statistical and Adaptive Signal
Processing, McGraw Hill, 2000.
4. S. M. Kay, Modern Spectral Estimation, Prentice Hall, 1987.
5. S. J. Orfanidis, Optimum Signal Processing, Second Edition, MacMillan Publishing,1989.
6. H. Stark and J.W. Woods, Probability and Random Processes with Applications toSignal
Processing, Prentice Hall 2002.
7. A. Papoulis and S.U. Pillai, Probability, Random Variables and Stochastic Processes,4th
Edition, McGraw-Hill, 2002

90
RANDOM THEORY, STOCHASTIC PROCESS AND QUEUEING THEORY (EC21325)

Introduction to statistical communication theory, Simple binary hypothesis tests: Bayes criteria and
Neyman-Pearson tests, receiver operating characteristic and M hypotheses, Classical estimation theory:
Bayes estimation, maximum likelihood estimation, Cramer- Rao Inequality and multiple parameter
estimation.

Representation of random processes: introduction to random variables, sequence of random


variables, central limit theorem, transformation of random variables, characterization of
random processes.

Gaussian processes and their properties, Wiener process, White noise processes, optimum linear filters,
periodic random processes and vector random process. Detection of signals and estimation of signal
parameters in white noise.

Matched filters, correlation receivers, linear and nonlinear estimations, nonwhite Gaussian noise,
detections and estimations in nonwhite noise estimation of signals with random amplitude and phase,
Rayleigh channels, Rician channels, multiple channels.

Queueing theory, Queueing models, Kendall’s notation, The M/M/1 Queueing system, Little law,
M/M/1/N Queueing systems, The M/G/1, Queueing systems, Network of queues.
Discrete time Queueing systems, Queueing on space division packet switch, Queueing on single-
buffered Banyan network.

Textbooks/Reference Books:
1. A. Papoulis & S. U. Pillai, Probability, Random variables and stochastic processes, 4thEdition,
McGraw Hill
2. K. Sam Shanmugan & A. M. Breipohi, Random Signals, 2nd Edition, Wiley
3. John J. Proakis, Digital communication, Fourth Ed., MGH
4. Thomas G. Robertazzi, Computer networks and systems: Queueing Theory andPerformance
Evaluation, 3rd Edition, Springer.

RECONFIGURABLE HARDWARE DESIGN (EC21308)

Introduction to reconfigurable design, objectives, advantages and performance issues,


classification/types of reconfigurability, details of logic reconfiguration, instruction set reconfiguration,
static vs dynamic reconfiguration, full or partial reconfiguration, fine grained, medium grained and
coarse grained reconfiguration. Hardware vs software configurability and reconfigurability. Flow of
reconfigurable design including synthesis, program execution and reconfigurable processor,
reconfigurable instruction cell array. Algorithms related to different design steps of reconfigurable
architecture. Fault covering problem in reconfigurable VLSI, fault covers in heterogeneous and general
arrays. Fault diagnosis in reconfigurable VLSI and WSI processors arrays. Reconfigurable architecture
design for different applications includingDSP and Communication. Testability for reconfigurable VLSI
architecture. Network on Chips(NOC).

Textbooks/Reference Books:
1. P. -E. Gaillardon, Reconfigurable Logic: Architecture, Tools, and Applications, CRC Press
2. John V. Oldfield and Richard C. Dorf, Field-Programmable Gate Arrays: Reconfigurable Logic
for Rapid Prototyping and Implementation of Digital Systems, Wiley Pub
3. Scott Hauck and André DeHon, Reconfigurable Computing: The Theory and Practice of FPGA-
Based Computation

91
DIGITAL TRANSMISSION (EC21346)

Review of Digital Communication System and Random Process: Review of fourier techniques and
their application for linear system analysis, fourier transform properties, characterization of
communication signals and systems, spectral density, autocorrelation, random signals and random
process, stationary processes, mean, correlation & covariance function, ergodic processes, transmission
of a random process through a LTI filter, power spectral density, Gaussian process, noise, narrow band
noise and its phase representation, elements of digital transmission systems, communication channel
models and theircharacteristics.

Baseband Digital Transmission and Detection: M-ary PAM system, pulse shaping, Inter Symbol
Interference (ISI), Nyquist’s criterion for zero ISI, raised cosine spectrum, controlled ISI or partial
response signaling, scrambling, equalization, eye pattern.
Detection Theory: MAP, LRT, minimum error test, error probability, ML estimation, signal space
representation, Gram-Schmidt orthogonalization, conversion of continuous AWGNchannel to vector
channel, likelihood functions, coherent detection of binary signals in presenceof noise, matched filter,
probability of error of matched filter, correlation receiver.

Bandpass data transmission: Bandpass modulation and demodulation, Digital bandpass modulation
techniques: binary PSK, DPSK, QPSK, M-ary PSK, QAM, M-ary FSK, MSK, GMSK, their generation,
detection (coherent, non-coherent), performance analysis and comparison in presence of noise,
introduction to OFDM based communication system.

Spread Spectrum Communication: Introduction, pseudo noise sequences, direct sequence spread
spectrum with coherent BPSK, signal space dimensionality & processing gain, probability of error,
concept of jamming, frequency hop spread spectrum.

Information Theory and Error Control Coding: Introduction to information theory, The source
coding theorem, source coding Algorithms, modeling of communication channels, channel capacity,
bounds on communication, error free communication over a noisy channel, linear Block codes,
encoding and syndrome decoding, cyclic codes, encoder and decoder for systematic cyclic codes,
convolution codes, code tree and Trellis diagram, Viterbi and sequential decoding, burst error
correction, Turbo codes, Trellis coded modulation.

Selected Topics in Digital Communication: Fading channels, digital transmission through fading
multipath channels, types of fading, characterization of fading multipath channels, mitigating the effects
of fading performance of fading multipath channels, digital signaling over a frequency selective slow
fading channel, diversity techniques for fading multipath channels, introduction to multiuser
communication systems and their applications. Multiple access techniques and their capacities, digital
multiplexing, next generation communication systems.

Textbooks/Reference Books:
1. Simon Haykin, Digital Communication Systems, John Wiley & Sons, Fourth Edition
2. A. B. Carlson, P B Crully, J C Rutledge, Communication Systems, Fourth Edition,
McGraw Hill Publication.
3. K. Sam Shanmugam, Digital and Analog Communication Systems, Wiley India Pvt.Ltd
4. B P Lathi, Zhi Ding, Modern Analog and Digital Communication System, Oxford
University Press, Fourth Edition
5. Bernard Sklar, Prabitra Kumar Ray, Digital Communications Fundamentals and
Applications, Second Edition, Pearson Education
6. Taub, Schilling, Principles of Communication System, Fourth Edition, McGraw Hill
7. T.S. Rappaport, Wireless Communications: Principles and Practice, Prentice Hall,2004

92
ADVANCED COMPUTER NETWORKS (EC21347)

Review of Networking Concepts: MAC layer issues, Ethernet 802.3, ARP, IP addressing and
subnetting, NAT and PAT, variable length subnet masking, CIDR.

End to End protocols: TCP connection establishment and termination, sliding windowconcepts, other
issues: wraparound, silly window syndrome, Nagle’s algorithm, adaptive retransmission, TCP
extensions. Congestion and flow control, queuing theory, TCP flavors: Tahoe, Reno, New-Reno, TCP-
SACK, TCP-RED and TCP-Vegas. Transport protocol for realtime (RTP), Quality of service: integrated
services, differentiated services.

Routing and Multicast: Structure of internet: autonomous systems, Intra-domain routing: OSPF and
RIP, Inter-domain routing: BGP. Multicasting: Group Management (IGMP), Internet scale
multicasting: Reverse path broadcast, MOSPF, DVMPRP, PIM.

Peer to peer and overlay networks: Concept of overlays, Unstructured Overlays: Gnutella, concepts
of distributed Hash Table, Structured Overlays: Chord, CAN, Pastry.

Textbooks/Reference Books:
1. Peterson and Davie, Computer Networks: A Systems Approach, 5th Ed., MorganKauffman,
2011
2. Kurose and Ross, Computer Networking: Top Down Approach, 6th Ed., Pearson, 2011
3. V. Paxson, End-to-end Internet packet dynamics, in IEEE/ACM Transactions onNetworking,
vol. 7, no 3, June 1999
4. W. Stevens, TCP Slow Start, Congestion Avoidance, Fast Retransmit, and Fast Recovery
Algorithms, RFC2001
5. K. Fall and S. Floyd, Simulation-based comparison of Tahoe, Reno, and SACK TCP, Computer
Communication Review, vol. 26, pp. 5-21, July 1996
6. L. Brakmo and L. Peterson, TCP Vegas: End-to-End Congestion Avoidance on a GlobalInternet,
IEEE Journal on Selected Areas in Communications, 13 (8), October 1995, 1465-1480
7. Stoica, I., Morris, R., Karger, D., Kaashoek, F., Balakrishnan and H.: Chord: A scalablepeer-to-
peer lookup service for Internet applications
8. Rowstron, A., Druschel, P and Pastry: Scalable, decentralized object location and routing for
large-scale peer-to-peer systems

93
MOBILE COMMUNICATION (EC21348)

Cellular Concepts – System Design Fundamentals: Cellular concept, channel reuse, handoffstrategies,
dynamic resource allocation, interference and system capacity, improving capacity and coverage of
cellular systems.

Second and third generation network standards: GSM standardization, architecture and function
partitioning, GSM radio aspects, security aspects, protocol model, call flow sequences,evolution to 2.5G
mobile radio networks. IS-95 service and radio aspects, key features of IS- 95 CDMA systems,
ECWDMA-UMTS physical layer, UMTS network architecture, CDMA 2000 physical layer.

Radio Wave Propagation: Free space propagation model, basic propagation mechanisms, reflection,
ground reflection model, diffraction, scattering, practical link budget design, outdoor and indoor
propagation models.

Small scale fading and multipath: Small scale multipath propagation, impulse response model of a
mulitpath channel, small scale multipath measurements, parameters of mobile multipath channels, types
of small scale fading.

Capacity of Wireless Channel: Capacity of flat fading channel, channel distribution information
known, channel side information at receiver, channel side information at transmitter and receiver,
capacity with receiver diversity, capacity comparisons, capacity of frequency selective fading channels.

Diversity: Realization of independent fading paths, receiver diversity, selection combining, threshold
combining, maximal-ratio combining, equal-gain combining, transmitter diversity, channel known at
transmitter, Channel unknown at transmitter, The Alamouti scheme, basic concepts of RAKE receivers.

Textbooks/Reference Books:
1. Andrea Goldsmith, Wireless Communications, Cambridge University Press, 2005
2. T.S. Rappaport, Wireless Communications, Pearson Education, 2003
3. Raj Pandya, Mobile and Personal Communication Systems and Services, Prentice Hallof
India, 2002
4. William C.Y. Lee, Wireless and Cellular Telecommunications, Third edition, Mc. GrawHill,
2006

94
SWITCHING AND FINITE AUTOMATA THEORY (EC21349)

Switching Algebra and Minimization of Switching Functions: Switching algebra and functions,
Boolean algebra and functions, K-map method, minimization of Booleans function using tabulation
method, relation and lattices, Venn diagram, sets theory.

Functional Decomposition and Symmetric Functions: Design of combinational logic circuits,


contact networks, functional decomposition and symmetric functions.

Threshold Logic: Threshold logic, threshold elements, capabilities and limitations of threshold logic,
elementary properties, unate functions, synthesis of threshold functions, cascading of threshold
elements.

Finite State Machine (FSM): Finite state model, capabilities and limitation of FSM, state equivalence
and machine minimization, sequence detector, simplification of incompletely specified machines,
asynchronous sequential circuit- modes of operation, hazards.

Structure of Sequential Machine: Structure of sequential machine, lattice of closed partitions, state
assignment using partitions, reduction of output dependency, input independence and autonomous
clock, homing sequence, synchronizing sequence, adaptive distinguishing experiments.

Reliable Design and Fault Diagnosis: Reliable design and fault diagnosis, fault detection in
combinational circuits, fault location experiments, fault detection by Boolean differences, path,
sensitizing method, multiple fault detection using map method failure- tolerant design.

Textbooks/Reference Books:
1. Z V I Kohavi, Switching and Finite Automata Theory, 2nd Edition, TMH
2. S. C. Lee, Modern Switching Theory
3. Peter Linz. An Introduction to Finite Languages and Automata, Narosa PublishingHouse
4. M. Morris Mano, Digital Design, 3rd Edition, Pearson Education
5. Donald D. Givone, Digital principles and Design, TMH
6. Anand Kumar, Fundamentals of Digital Circuits, PHI
7. R. P. Jain, Modern Digital Electronics, 2nd Edition, TMH
8. C V S Rao, Switching Theory & Logic Design
9. Jaakko T. Astola, Fundamental of Switching Theory And Logic Design

95
EXPERT SYSTEMS (EC21350)

Introduction, expertise and heuristic knowledge, knowledge-based system, structure of knowledge-


based systems, logic and automated reasoning, predicate logic, logical inference, Resolution. Truth
maintenance systems, rules-based reasoning, forward chaining, backward chaining, rule-based
architectures, conflict resolution schemes, associative networks, frames and objects, uncertainty
management, Bayesian approaches, certainty factors, Dempster Shafer theory of evidence fuzzy sets
and fuzzy logic, knowledge acquisition search strategies andmatching techniques.

Textbooks/Reference Books:
1. Peter Jackson, Introduction to Expert Systems
2. Archino J. Gonzalez, Douglas and Dankel, The Engg. of Knowledge Based Systems
3. Dan W. Patterson, An Introduction to Artificial Intelligence

EMBEDDED SYSTEMS (EC22306)

Introduction to Embedded systems: Introduction, categorization of embedded systems,exemplary


systems, selection of processor and memory for embedded systems, DMA, I/O devices, interrupt service
handling for embedded systems, embedded tools in C/C++, memoryoptimization.

8- bit Microcontrollers: Introduction to MCS-51 family, architectural features, organization ofdata &
program memories, orthogonal architectural features, addressing modes, instruction set,programming,
8051 interrupts, writing ISRs, SFRs, programming on-chip devices, UART andserial port programming,
power saving modes.

Interfacing & Applications: External memory interfacing, interfacing ADC, display systems(7-Seg &
LCDs), potentiometer position measurements, temperature monitoring/control for ACs, light sensors
for Robotics, ultrasonic sistance measurements, PWM motor control, RS- 232 interface, servo
positioning system.

Enhanced MCS-51 Features: Architectural enhancements in scratchpad RAM, Watchdogtimers,


onboard PWM, HSM controllers, high speed serial port, introduction to MCS— 151/251.

Real Time Operating System: Introduction to OS concept, system services, RTOS basics,task
scheduling, interrupt latency, example RTOS for MCS-51: RTOSLITE & FULLRTOS.

Textbooks/Reference Books:
1. Raj Kamal, Embedded System Architecture, Programming and Design, 2nd Ed, Tata
McGraw Hill
2. Myke Predko, Programming and Customizing the 8051 Microcontroller, Tab Books/Tata
McGraw Hill
3. M. A. Mazidi, J.G. Mazidi and R. D. McKinlay, The 8051 Microcontrollers and
Embedded Systems: Using Assembly and C, 2nd Ed, Pearson Education
4. John Catsoulis, Designing Embedded Hardware, O'Reilly Media, Inc
5. K. J. Ayala, The 8051 Microcontrollers Architecture Programming & Applications, 2ndEd,
Penram International
6. L. B. Das, Embedded Systems: An Integrated Approach, Pearson Education

96
ASIC DESIGN (EC22308)

Types of ASICs, design flow, economics of ASICs, ASIC cell libraries, CMOS logic cell, datapath logic
cells, I/O cells, cell compilers.

ASIC Library design: Transistors as resistors, parasitic capacitance, logical effort, Programmable ASIC
design software: design system, logic synthesis, half gate ASIC.

Low level design entry: schematic entry, low level design languages, PLA tools, EDIF - an overview of
VHDL and Verilog.

Logic synthesis in Verilog and VHDL simulation.

ASIC construction, floor planning & placement, routing.

Textbook/Reference Book:
1. J.S. Smith, Application specific Integrated Circuits, Addison Wesley, 1997

INTERACTIVE COMPUTER GRAPHICS (EC22341)

Graphics input and output devices, display processors, Raster graphics fundamentals, plotting displays,
vector generation, line and circle drawings algorithms, scaled area. Scan conversion, picture
transformations windowing and dipplings 3-D graphics, dimensional transformation and perspective,
perspective depth, hidden surface elimination, curves and surface generation,shading, a simple graphics
packages, segmented display, titles display, file compilation,Genetic models of picture surface.

References:
1. James D. Foley, Andries van Dam, Steven K. Feiner, John F. Hughes, Computer
Graphics: Principles and Practice, Addison-Wesley, 2nd edition
2. Donald Hearn and M. Pauline Baker, Computer Graphics, C version, 2nd edition,
Printice-Hall, latest version
3. Edward Angle, Interactive Computer Graphics: A Top-Down Approach with OpenGL,
Addison Wesley. Cornel Pokorny, COMPUTER GRAPHICS: An Object-oriented
Approach to the Art and Science, Franklin, Beedle & Associates,Incorporated
4. Jim X. Chen, Foundation of 3D Graphics Programming Using JOGL and Java3D,
Springer Verlag, 2006
5. Jim X. Chen, Guide to Graphics Software Tools, Springer Verlag, 2002
6. Mason Woo, Jackie Neider, and Tom Davis, OpenGL Programming Guide, AddisonWesley

97
MOBILE COMPUTING (EC22342)

Mobile Communications and Computing: Introduction to Mobile computing (MC), novel applications,
limitations, and architecture. GSM: Mobile services, system architecture, radio interface, protocols,
localization and calling, handover, security, and new data services.

(Wireless) Medium Access Control: Motivation for a specialized MAC (hidden and exposed terminals,
near and far terminals), SDMA, FDMA, TDMA, CDMA.

Mobile Network Layer: Mobile IP (goals, assumptions, entities and terminology, IP packet delivery,
agent advertisement and discovery, registration, tunneling and encapsulation, optimizations), Dynamic
Host Configuration Protocol (DHCP).

Mobile Transport Layer: Traditional TCP, indirect TCP, snooping TCP, mobile TCP, fast
retransmit/fast recovery, transmission/time-out freezing, selective retransmission, transaction oriented
TCP.

Data Dissemination: Communications asymmetry, classification of new data delivery mechanisms,


push-based mechanisms, pull-based mechanisms, hybrid mechanisms, selective tuning (indexing)
techniques.

Mobile Ad-hoc Networks (MANETs): Overview, properties of a MANET, spectrum of MANET


applications, routing and various routing algorithms, security in MANETs.

Textbooks/Reference Books:
1. Mazliza Othman, Principles of Mobile Computing & Communications, SPDpublications
2. Rajkamal, Mobile Computing, 2/e, Oxford University Press.
3. KumkumGarg, Mobile Computing: Theory and Practice, Pearson Education India, 2010
4. Asoke K. Talukdar, Mobile Computing, 2E, Tata McGraw-Hill Education, 2010
5. Reza B'Far, Mobile Computing Principles: Designing and Developing MobileApplications
with UML and XML, Cambridge University Press, 2005
6. J. Schiller, Mobile Communications, Pearson Education.

98
DETECTION AND ESTIMATION THEORY (EC22325)

Review of Gaussian variables and processes; problem formulation and objective of signal detection and
signal parameter estimation in discrete-time domain.

Statistical Decision Theory: Bayesian, minimax, and Neyman-Pearson decision rules, likelihood ratio,
receiver operating characteristics, composite hypothesis testing, locally optimum tests, detector
comparison techniques, asymptotic relative efficiency.

Detection of Deterministic Signals: Matched filter detector and its performance; generalizedmatched
filter; detection of sinusoid with unknown amplitude, phase, frequency and arrival time, linear model.

Detection of Random Signals: Estimator-correlator, linear model, general Gaussian detection,detection


of Gaussian random signal with unknown parameters, weak signal detection.

Nonparametric Detection: Detection in the absence of complete statistical description of observations,


sign detector, Wilcoxon detector, detectors based on quantized observations, robustness of detectors.

Estimation of Signal Parameters: Minimum variance unbiased estimation, Fisher information matrix,
Cramer-Rao bound, sufficient statistics, minimum statistics, complete statistics; linear models; best
linear unbiased estimation; maximum likelihood estimation, invariance principle; estimation efficiency;
Bayesian estimation: philosophy, nuisance parameters, risk functions, minimum mean square error
estimation, maximum a posteriori estimation.
Signal Estimation in Discrete-Time: Linear Bayesian estimation, Weiner filtering, dynamical signal
model, discrete Kalman filtering.

Textbooks/Reference Books:
1. H. L. Van Trees, Detection, Estimation and Modulation Theory: Part I, II, and III, JohnWiley,
NY, 1968.
2. H. V. Poor, An Introduction to Signal Detection and Estimation, Springer, 2/e, 1998.
3. S. M. Kay, Fundamentals of Statistical Signal Processing: Estimation Theory, PrenticeHall
PTR, 1993.
4. S. M. Kay, Fundamentals of Statistical Signal Processing: Detection Theory, PrenticeHall
PTR, 1998.

ADVANCED COMPUTER ARCHITECTURE (EC22343)

Parallel Computer Model: The state of computing, classification of parallel computers,


multiprocessors and multicomputer, multi-vector and SIMD computers. Program and network
properties: conditions of parallelism, data and resources dependences, hardware and software
parallelism, program partitioning and scheduling, program flow mechanism, system inter connect
architectures.

Advanced Processors: Advance processor technology, instruction-set architecture, CISC scalar


processors, RISC scalar processors, super scalar processor, vector and symbolic processors, VLIW
processors.

Memory Organizations: Memory hierarchy technology, virtual memory technology, cache memory
organization, shared memory organization, bus system, I/O sub system.

99
Pipelining: Linear pipeline processor, nonlinear pipeline processor, Instruction pipeline design:
mechanism of instruction pipelining, dynamic instruction scheduling, branch handlingtechniques, branch
predictions, Arithmetic pipeline design: computer arithmetic principles, static arithmetic pipeline,
multifunctional arithmetic pipelining, super scalar and super pipelinedesign.

Multiprocessor: Multiprocessor systems interconnect, cache coherence and synchronization


mechanisms, message-passing mechanism, scalable, vector processing pipeline, SIMD computer
organization.

Parallel Models, Languages and Compilers: Parallel programming model, parallel language and
compiler, dependence analysis of data arrange code optimization architectures, parallel program
development and environment.

Textbooks/Reference Books:
1. Kai Hawang, Advance Computer Architecture, TMH
2. Hwang Briggs, Computer Architecture and Parallel processing, MCH
3. D. A. Patterson and J. L Hennessey, Computer organization and design, Morgan
Kaufmanns, 2nd Edition

MULTIDIMENSIONAL DIGITAL SIGNAL PROCESSING (EC22344)

Introduction to Multidimensional Discrete signals and systems: Frequency domain characterization


of multidimensional signals and systems, sampling two dimensional signals, processing continuous
signals with discrete systems.

Discrete Fourier analysis of Multidimensional signals: Discrete Fourier series representation of


rectangular periodic sequences, Multidimensional DFT, definition and properties, Calculation of DFT,
Vector radix FFT, Discrete Fourier transforms for general periodically sampled signals, relationship
between M-dimensional and one-dimensional DFTs.

Design and implementation of Two-dimensional FIR filters: Implementation, Design usingwindows,


Optimal FIR filter design- least squares design, Design of cascaded and parallel 2-DFIR filters, Design
and implementation of FIR filters using transformations.

Multidimensional Recursive systems: Finite order difference equations- realizing LSI systems using
difference equations, recursive computability, boundary conditions, ordering thecomputation of output
samples, Multidimensional Z-Transforms, stability of 2-D recursive systems, stability theorems, Two-
dimensional complex Spectrum.

Design and implementation of Two-dimensional IIR filters: Classical 2-D IIR filter implementations,
Iterative implementation of 2-D IIR filters, signal flow graphs- circuitelements and their realizations, state
variable realizations, Space domain Design techniques- Shank's method, Descent methods, Iterative pre-
filtering design method, Frequency domain design techniques, stabilization techniques.
Multidimensional Spectral Estimation, Two-Dimensional Kalman Filtering.Applications: Applications of
Multidimensional Signal Processing in Radar, Seismology and Image Processing etc.

Textbooks/Reference Books:
1. Dan E Dudgeon and R M Mersereau, Multidimensional Digital Signal Processing,Prentice
Hall
2. Tamal Bose, Digital Signal and Image Processing, John Wiley publishers.
3. J S Lim, Two dimensional signal and Image Processing, Prentice Hall.

100
VLSI CIRCUITS AND SYSTEMS (EC22345)

Designing High-Speed CMOS Logic Networks: Gate delays, driving large capacitive loads, logical
effort, optimizing number of stages, branching, BiCMOS drivers.

Advanced Techniques in CMOS Logic Circuits: Mirror circuits, Pseudo-nMOS, domino logic,
adiabatic logic, tri-state circuits, clocked CMOS, dynamic CMOS logic Circuits, dual raillogic networks.

System Specifications using Verilog Codes: Basic concepts, structural, gate level modeling,switch level
modeling, design hierarchies, behavioral, dataflow modeling and RTL.

General VLSI System Components using Verilog Codes: Multiplexers, binary decoders, equality
detectors and comparators, priority encoder, shift and rotation operations, latches, D flip-flop, registers
and their structural description using Verilog.

Arithmetic Circuits in CMOS VLSI using Verilog Codes: Bit adder circuits, ripple-carry adders, carry
look-ahead adders, other high-speed adders, and their Verilog implementation, Booth algorithm and
Booth encoded digit operations and array multipliers.
Reliability and Testing of VLSI Circuits: General concepts, reliability modeling and performance
metrices, CMOS testing, test generation methods: logical effects of faults, the D- Algorithm, path
sensitization and basic networks for deriving the Boolean difference.

Textbooks/Reference Books:
1. Neil H. E. Weste and David M. Harris, CMOS VLSI Design: A Circuits and Systems
Perspective, Addison-Wesley
2. John P. Uyemura, Introduction to VLSI Circuits and Systems, John Wiley & Sons, INC
3. Neil H. E. Weste and Kamran Eshraghian, Principles of CMOS VLSI Design, Addison-Wesley,
MA

IMAGE PROCESSING AND PATTERN RECOGNITION (EC22322)


Human visual system and image perception, image representation and modelling, imagesampling and
quantization, 2D systems, Image transforms: KLT, DFT, DCT, DST, Hadamard,Harr and Slant transform,
image data compression, pixel coding, predictive coding and transform coding, JPEGF Standard, image
representation by stochastic model, image enhancement, filtering and restoration, image analysis using
multi restoration techniques, texture analysis and synthesis, scene analysis decision theory, parametric and
nonparametric procedures for classifying patterned data sets, sets clustering and unsupervised learning,
knowledge based pattern recognition.
Textbooks/Reference Books:
1. Rafael C. Gonzalez and Richard E. Woods, Digital Image Processing, Pearson, SecondEdition,
2004
2. Kenneth R. Castleman, Digital Image Processing, Pearson, 2006
3. William K. Pratt, Digital Image Processing, John Wiley, New York, 2002
4. Rafeal C. Gonzalez, Richard E. Woods, Digital Image Processing, Second Edition,Pearson
Education

101
INFORMATION THEORY AND CODING (EC22346)
Information theory: Information, entropy, information rate, classification of codes, Kraft McMillan
inequality, sources, memoryless and Markov, source coding theorem, Shannon Fanocoding, Huffman
coding, Extended Huffman coding - joint and conditional entropies, mutualinformation, discrete
memoryless channels – BSC, BEC – channel capacity, Shannon limit.

Source coding: text, audio and speech: Text: adaptive Huffman coding, arithmetic coding, LZW
algorithm – Audio: perceptual coding, masking techniques, psychoacoustic model, MEG audio layers
I,II,III, Dolby AC3 - Speech: channel vocoder, linear predictive coding.

Source coding: Image and Video: Image and video formats – GIF, TIFF, SIF, CIF, QCIF, Image
compression: READ, JPEG, Video compression: principles- I,B,P frames, motion estimation, motion
compensation, H.261, MPEG standard.
Error control coding - Block codes: Definitions and principles: Hamming weight, Hammingdistance,
Hamming Bound, minimum distance decoding, single parity codes, Hamming codes,repetition codes,
linear block codes, cyclic codes, syndrome calculation, encoder and decoder,CRC.

Error control coding - Convolutional codes: Convolutional codes, code tree, trellis, state diagram,
encoding, decoding: sequential search and Viterbi algorithm, principle of Turbo coding.

Textbooks/Reference Books:
1. R Bose, Information Theory, Coding and Crptography, TMH 2007
2. Fred Halsall, Multidedia Communications: Applications, Networks, Protocols andStandards,
Pearson Education Asia, 2002
3. K Sayood, Introduction to Data Compression, 3/e, Elsevier 2006
4. S Gravano, Introduction to Error Control Codes, Oxford University Press 2007
5. Amitabha Bhattacharya, Digital Communication, TMH 2006
6. B. P. Lathi, Modern Digital and Analog Communications Systems, The Oxford Seriesin
Electrical and Computer Engineering, Third Edition
7. Thamas Cover, Information theory and Coding, 2nd Edition, Prentice Hall

VLSI TESTING AND TESTABLE DESIGN (EC22309)

The need for testing, the problems of digital and analog testing, design for test, software testing.Faults in

Digital circuits: General introduction, controllability and observability. Fault models


- stuck-at faults, bridging faults, intermittent faults.
Digital test pattern generation: Test pattern generation for combinational logic circuits, manual test
pattern generation, automatic test pattern generation - Roth's D-algorithm, developments following Roth's
D-algorithm, pseudo random test pattern generation, test pattern generation for sequential circuits,
exhaustive, non-exhaustive and pseudo random 70 test pattern generation, delay fault testing.

Signatures and self-test: Input compression Output compression arithmetic, Reed-Mullercoefficients,


spectral coefficients, coefficient test signatures, signature analysis and online selftest.

102
Testability techniques: Partitioning and ad-hoc methods and scan-path testing, boundary scan and IEEE
standard 1149.1, offline Built in Self Test (BIST), hardware description languages and test.

Testing of analog and digital circuits: testing techniques for filters, A/D converters, RAM, programmable
logic devices and DSP.

Textbooks/Reference Books:
1. Stanley L. Hurst, VLSI Testing: digital and mixed analogue digital techniques, Pub:Inspec /
IEE, 1999
2. Miron Abramovici, Melvin A. Breuer and Arthur D. Friedman, Testing & TestableDesign

COMPUTER NETWORK PERFORMANCE AND MODELLING (EC22347)

Queueing theory, queueing models, The M/M/1 queueing system, Little’s law, M/M/1/N queueing
systems, M/G/1 queueing systems, network of queues, product-form solution, queueing networks with
negative customers, closed queueing networks, mean value analysis, product-form solution, simulation
of networks, discrete time queueing systems, queueing on space division packet switch, queueing on
single-buffer Banyan network, Petri nets and their applications.

References:
1. R. K. Jain, The Art of Computer Systems Performance Analysis: Techniques forExperimental
Design, Measurement, Simulation and Modeling
2. Arnold O. Allen, Probability, Statistics and Queuing Theory with Computer Science
Applications

VLSI FOR SIGNAL PROCESSING (EC22348)

VLSI implementation and design issues related to discrete fourier transform, digital filter design
techniques; computation of discrete fourier transform; discrete Hilbert transform;discrete random signals;
effect of finite register length in digital signal processing; homomorphic signal processing; power
spectrum estimation. Design issues related to VLSI forsignal processing.

Textbooks/Reference Books:
1. Jose Epifanio Franca and Yannis Tsividis, Design of Analog-Digital VLSI Circuitsfor
Telecommunications and Signal Processing, Second Edition, Prentice Hall
2. Keshab K. Parhi, VLSI Digital Signal Processing Systems: Design and
Implementation, First Edition, Wiley-Interscience
3. Richard J. Higgins, Digital signal processing in VLSI, Prentice Hall

103
DIGITAL CONTROL (EC22349)

Introduction: Basic Elements of discrete data control systems, advantages of discrete data control systems,
examples.

Signal conversion & processing: Digital signals & coding, data conversion & quantization, sample and
hold devices, mathematical modeling of the sampling process, Data reconstructionand filtering of sampled
signals: zero-order hold, first-order Hold and polygonal hold.

Review of Z-transforms, applications of Z-transforms to difference equations and ladder network


problem, signal between sampling instants using sub multiple sampling method, modified Z- transforms.

Transfer functions, block diagrams, signal flow graphs: introduction, pulse transfer function, and Z-
transfer function, discrete data system with cascaded elements separated by a sampler and not separated
by a sampler. Closed loop systems, characteristic equation in discrete domain, causality and physically
realizable systems, the sampled signal flow graph, modified Z-transfer function, multirate discrete data
systems (slow rate and fast rate), closed loop multirate sampled systems.

Comparison of time response of continuous data and discrete data, steady state error analysis of digital
control systems, correlation between time response and root locations in s-plane andz-plane, Root loci for
digital control systems, effects of adding poles and zeros to open loop transfer function, discrete data
systems: Stability tests of discrete data systems: Bilinear transformation method, extension of RH
criterion, Jury’s stability test.

Frequency domain analysis: Polar plot of GH(z), Nyquist stability criterion, Bode plot, gain Margin and
phase margin, Nicholas chart, bandwidth considerations, sensitivity analysis.

Review of state space techniques to continuous data systems, state equations of discrete data systems with
sample and hold devices, state diagrams of digital systems, decomposition of discrete data transfer
function, state variable analysis of response between sampling instants, controllability, observability of
LTI discrete data systems.

Design of digital control systems with digital controllers through bilinear transformation. Digital PID
controller, design for dead beat response, pole placement design by incomplete feedback or output
feedback.

Textbooks/Reference Books:
1. Kuo, Digital control systems, Second Edition, Oxford University Press
2. Ogatta, Discrete Time control systems, 2nd ed., PHI 3. M. Gopal,
Digital Control Engineering, New Age Publ.
4. Nagrath & Gopal, Control System Engineering, Wiley Eastern
5. John Dorsey, Continuous & Discrete Control Systems, MGH

104
9. PROGRAM: B Tech (Electronics and Communication Engineering) with Research (in
Communication Systems)

The Research Programme courses in Communication Systems will be offered in 5th,6th, and 7th
semesters.

Sl. No. Subject Code Subject L T P Credit Core/Elective


1. EC22325 Detection and Estimation Theory 3 1 0 04 Core
2. EC22103 Advanced Optical Communication 3 1 0 04 Core
3. EC22104 Communication Networks 3 1 0 04 Core
4. EC-XXXX Elective I/II/IV/V 3 1 0 04 Elective
5 EC-XXXX Research Project 04 Elective
Total 20
N.B. The specialization courses in B Tech Research (Electronics and Communication Engineering,
Communication Systems) Program are of M Tech Communication Systems Program.

DETECTION AND ESTIMATION THEORY (EC22325)

Review of Gaussian variables and processes; problem formulation and objective of signal detection and signal
parameter estimation in discrete-time domain.

Statistical Decision Theory: Bayesian, minimax, and Neyman-Pearson decision rules, likelihood ratio,
receiver operating characteristics, composite hypothesis testing, locally optimum tests, detector comparison
techniques, asymptotic relative efficiency.

Detection of Deterministic Signals: Matched filter detector and its performance; generalized matched filter;
detection of sinusoid with unknown amplitude, phase, frequency and arrival time, linear model.

Detection of Random Signals: Estimator-correlator, linear model, general Gaussian detection, detection of
Gaussian random signal with unknown parameters, weak signal detection.

Nonparametric Detection: Detection in the absence of complete statistical description of observations, sign
detector, Wilcoxon detector, detectors based on quantized observations, robustness of detectors.

Estimation of Signal Parameters: Minimum variance unbiased estimation, Fisher information matrix,
Cramer-Rao bound, sufficient statistics, minimum statistics, complete statistics; linear models; best linear
unbiased estimation; maximum likelihood estimation, invariance principle; estimation efficiency; Bayesian
estimation: philosophy, nuisance parameters, risk functions, minimum mean square error estimation,
maximum a posteriori estimation.

Signal Estimation in Discrete-Time: Linear Bayesian estimation, Weiner filtering, dynamical signal model,
discrete Kalman filtering.
Textbooks/Reference Books:
1. H. L. Van Trees, Detection, Estimation and Modulation Theory: Part I, II, and III, John Wiley,
NY, 1968.
2. H. V. Poor, An Introduction to Signal Detection and Estimation, Springer, 2/e, 1998.
3. S. M. Kay, Fundamentals of Statistical Signal Processing: Estimation Theory, Prentice Hall
PTR, 1993.
4. S. M. Kay, Fundamentals of Statistical Signal Processing: Detection Theory, Prentice Hall PTR,
1998.

105
ADVANCED OPTICAL COMMUNICATION (EC22103)

Introduction to Fiber Communication System: Review of basic principles of light propagation,


Optical fibers - modal propagation, signal distortion on optical fibers. Source & Detectors: Design of
LED's for optical communication, semiconductor Lasers for optical fiber communication system,
semiconductor Photodiode detectors, Avalanche Photodiode detectors& Photo multiplier tubes, Photo
receivers, Noise sources.
Modulation schemes: Channel impairments, and optical transmission system design principles,
Advanced modulation formats, OFDM, polarization multiplexing, constrained coding, and coherent
detection: - Multilevel modulation schemes - Orthogonal frequency division multiplexing (OFDM) -
Polarization multiplexing - Constrained (line or modulation) coding, and Coherent detection.
Error Correction Techniques: Forward error correction (FEC)-Linear block codes and cycliccodes,
BCH and RS codes, Concatenated codes, Turbo- and turbo-product codes, and LDPC codes. Coded
modulation schemes: Multilevel coding. Bit-interleaved coded modulation, andCoded OFDM.
Advanced chromatic dispersion compensation, Signal pre-distortion compensation, Postdetection
compensation: feed-forward equalizer (FFE), decision feedback equalizer (DFE), maximum likelihood
sequence estimation (MLSE) or Viterbi equalizer (VE), turbo equalization (TE), Compensation of
chromatic dispersion by OFDM, Advanced PMD compensation: Optical compensation techniques,
Electrical compensation techniques

Textbooks/Reference Books:
1. Senior, Optical Fibre Communication, PHI – 2nd Edition
2. B. Djordjevic, W. Ryan and B. Vasic, Coding for Optical Channels, Springer, 2010
3. Gerd Keiser, Optical Fibre Communication, Mc. Graw Hill – 2nd Edition

4. M. Cvijetic, I. B. Djordjevic, Advanced Optical Communication Systems and Networks.


Artech House, Jan. 2013

106
COMMUNICATION NETWORKS (EC22104)

Introduction to Communication Networks: Introduction, Evolution of Communication Networks,


Challenges in Communication Networks, Overview of various Communication Networks, Wireless
Communications Principles and Fundamentals, Different Generations of Cellular Systems, Future Trends: 5G
Systems and Beyond.
Wireless LANs, PANs and MANs: Introduction, Fundamentals of WLAN - Technical Issues, Network
Architecture, WLAN Topologies, IEEE 802.11 Physical Layer, The Medium
Access Control (MAC) Layer, Latest Developments and variants if IEEE802.11, Bluetooth - Specification,
Transport Layer, Middleware Protocol Group, Bluetooth Profiles, IEEE 802.16- Differences between IEEE
802.11 and 802.16 PHY and MAC.
Wireless Internet: Introduction –wireless internet, address mobility, inefficiency of transport layer and
application layer protocol, mobile IP – simultaneous binding, route optimization, mobile IP variations,
handoffs, IPv6 advancements, IP for wireless domain, IP level Mobility Management for Wireless Networks
TCP in wireless domain – TCP over wireless, TCPs -traditional, snoop, indirect, mobile, transaction- oriented,
impact of mobility.
Ad Hoc Wireless Networks and Wireless Sensor Networks: Introduction, issues –medium access scheme,
routing, multicasting, transport layer protocol, pricing scheme, QoS provisioning, self-organization, security,
addressing, service discovery, energy management, deployment consideration, ad-hoc wireless internet.
WSN: issues and design challenges, architecture – layered and clustered , data dissemination, data gathering,
Mac protocols, location discovery, quality of sensor network – coverage and exposure, zigbee standard.

Textbooks/Reference Books:
1. Aftab Ahmad, Wireless and Mobile Data Networks, John Wiley & Sons, Ltd. 2005.
2. P. Nicopolitidis, M. S. Obaidat, G. I. Papadimitriou, A. S. Pomportsis, Wireless Networks, John
Wiley & Sons, Ltd. 2003.
3. C. Siva Ram Murthy and B.S. Manoj, Ad-hoc Wireless Networks - Architecture and Protocols,
Pearson Education, 2005.
4. Kaveh Pahlavan and Prashant Krishnamurthy, Principle of Wireless Networks - A Unified
Approach, Prentice Hall, 2006.
5. Jochen Schiller, Mobile Communications, Pearson Education, 2005.
6. William Stallings, Wireless Communication and Networks, Prentice Hall, 2005.
7. T. S. Rappaport, Wireless Communications: Principles and Practice, Prentice Hall, 2004.
8. Research Papers from Journals (provided by the course instructor)

107
Elective I

1. EC21322 RF and Microwave Communication


2. EC21323 Optimization Techniques
3. EC21324 Linear Algebra

Elective II

1. EC21325 Random Theory, Stochastic Process and Queueing Theory


2. EC21327 Information Theory and Coding
3. EC21328 VLSI for Communication

Elective IV

1. EC22322 Image Processing and Pattern Recognition


2. EC22323 Wireless Sensor Networks
3. EC22324 Advance Signal and Image Processing

Elective V
1. EC22326 Antenna Design and MIMO Systems
2. EC22328 Artificial Intelligence and Machine Learning

108
RF AND MICROWAVE COMMUNICATION (EC21322)

Introduction to RF and Microwave Engineering: RF and Microwave Engineering, Communication over


Distance, Review of Electromagnetic Theory, Radio Architecture, Conventional Wireless Communications,
RF Power Calculations, Receiver, Transmitter, and Transceiver at RF Systems, RF Signals, Analog
Modulation, Digital Modulation, Interference and Distortion, Early Receiver Technology, Modern
Transmitter Architectures, Modern Receiver Architectures.

Transmission Medium & Antenna: Analysis of Transmission Line, Wave Propagation on a Transmission
Line, The lossless &lossy Transmission Line, Smith Chart, Coaxial Line, General Solutions for TEM, TE,
and TM waves Rectangular Waveguide and Circular Waveguide, Strip &Microstrip Line, Fundamental
Antenna Parameters, Radiation from a Current Filament, RF Antennas, Resonant Antennas, Traveling-Wave
Antennas, RF Link, Radio Link Interference.

Microwave Network Analysis: Two-Port Networks, Scattering Parameters, The N-Port Network, Scattering
Parameter Matrices of Common Two-Ports, Impedance and Equivalent Voltages, Impedance and Admittance
Matrices, Scattering Matrix, Transmission (ABCD) Matrix, Signal Flow Graphs, Discontinuities and Modal
Analysis, Excitation of Waveguides.

Design and analysis of microwave filters: Periodic Structures, Filter Design by the Image Parameter, Filter
Design by the Insertion Loss, Filter Transformations, Filter Implementation, Stepped-Impedance Low-Pass,
Filters Coupled Line Filters, Filters Using Coupled Resonators.

Active Devices for Microwave Circuits: Diodes and Diode Circuits, Microwave Tubes, Microwave
Oscillators, Amplifiers, RF and Microwave System Design.

Textbooks/Reference Books:
1. David Pozar, Microwave Engineering, 2nd ed. Wiley 2004
2. Michael Steer, Microwave and RF Design: A Systems Approach (Electromagnetic Waves),
Institution Engineering & Tech.
3. Jordan Bellman, Electromagnetic Waves and Radiating System
4. K. C. Gupta, Microwave
3. Herbert J. Reich, Microwave principles
4. D.C. Agrawal, Microwave techniques
5. R. Chatterjee, Elements of Microwave Engg.
6. Liao, Microwave Devices and Circuits

109
OPTIMIZATION TECHNIQUES EC21323

Introduction and Basic Concepts: Historical development, Engineering applications of optimization, art of
modelling, Objective function, constraints and constraint surface; formulation of design problems as
mathematical programming problems, classification of optimization problems, Optimization techniques –
classical and advanced techniques.

Optimization using Calculus: Stationary points, functions of single and two variables; Global optimum
convexity and concavity of functions of one and two variables, Optimization of function of one variable and
multiple variables, Gradient vectors, Examples, Optimization of function of multiple variables subject to
equality constraints, Lagrangian function, Optimization of function of multiple variables subject to equality
constraints, Hessian matrix formulation, eigen values, Kuhn-Tucker Conditions, Examples.

Linear Programming: Standard form of linear programming (LP) problem, Canonical form of LP problem,
assumptions in LP Models, elementary operations, graphical method for two variable optimization problem,
Examples, motivation of simplex method, simplex algorithm and construction of simplex tableau, simplex
criterion, minimization versus maximization problems, revised simplex method; duality in LP, primal-dual
relations, dual simplex method, sensitivity or post optimality analysis, other algorithms for solving LP
problems – Karmarkar’s projective scaling method.

Linear Programming Applications: Use of software for solving linear optimization problems using
graphical and simplex methods, Examples for transportation, assignment, water resources, structural and other
optimization problems.

Dynamic Programming: Sequential optimization, representation of multistage decision process, types of


multistage decision problems, concept of sub optimization and the principle of optimality, recursive equations
– forward and backward recursions, computational procedure in dynamic programming (DP). Dynamic
Programming Applications: Discrete versus continuous dynamic programming, multiple state variables; curse
of dimensionality in DP.

Dynamic Programming Applications: Problem formulation and application in design of continuous beam
and optimal geometric layout of a truss.

Integer Programming: Integer linear programming, concept of cutting plane method. Mixed integer
programming, solution algorithms, Examples.

Advanced Topics in Optimization: Piecewise linear approximation of a nonlinear function, Multi objective
optimization – Weighted and constrained methods, Multilevel optimization, Direct and indirect search
methods. Evolutionary algorithms for optimization and search.

Textbooks/Reference Books:
1. S. S. Rao, Engineering Optimization: Theory and Practice, New Age International P Ltd., 2000.
2. G. Hadley, Linear programming, Narosa Publishing House, New Delhi, 1990.
3. H. A. Taha, Operations Research: An Introduction, 5th Edition, Macmillan, New York, 1992.
4. K. Deb, Optimization for Engineering Design-Algorithms and Examples, Prentice Hall of India
Pvt. Ltd., 1995.
5. K. Srinivasa Raju and D. Nagesh Kumar, Multicriterion Analysis in Engineering and
Management, PHI Learning Pvt. Ltd.

110
LINEAR ALGEBRA (EC21324)

Algebraic Structures: Sets, functions, Group, homomorphism of groups, Ring, Field, Vector Space, Subspaces,
direct sum, metric space, inner product space, Lp space, Banach Space, Hilbert Space. Linear independence,
basis, dimension, orthonormal basis, finite dimensional vector spaces, isomorphic vector spaces, Examples of
finite and infinite dimensional vector spaces, RN, CN.

Linear Transformations: Linear Transformations, four fundamental subspaces of linear transformation,


inverse transformation, rank nullity theorem, Matrix representation of linear transformation, square matrices,
unitary matrices, Inverse of a square matrix, Change of basis, coordinate transformation, system of liner
equations, existence and uniqueness of solutions, projection, least square solution, pseudo inverse.

Matrix Methods and Transforms: Eigen values, Eigen vectors, Generalized Eigen vectors, Diagonalizability,
orthogonal diagonalization, Symmetric, Hermitian and Unitary matrices (transformations), Jordan canonical
form, Fourier basis, DFT as a linear transformation, Translation invariant linear transformation, wavelet basis,
wavelet transforms.

References:
1. G. F. Simmons, Topology and Modern Analysis, McGraw Hill
2. Frazier, Michael W. An Introduction to Wavelets through Linear Algebra, Springer Publications.
3. Hoffman Kenneth and Kunze Ray, Linear Algebra, Prentice Hall of India.

RANDOM THEORY, STOCHASTIC PROCESS AND QUEUEING THEORY (EC21325)

Representation of random variables and Random Processes: Introduction to random variables, sequence
of random variables, central limit theorem, transformation of random variables, characterization of random
processes. Gaussian processes and their properties, Wiener process, White noise processes, optimum linear
filters, periodic random processes and vector random process. Detection of signals and estimation of signal
parameters in white noise.

Introduction to statistical communication theory, Simple binary hypothesis tests, Bayes criteria and Neyman-
Pearson tests, receiver operating characteristic and M hypotheses,

Classical estimation theory: Bayes estimation, maximum likelihood estimation, Cramer- Rao Inequality and
multiple parameter estimation.

Matched filters, correlation receivers, linear and nonlinear estimations, nonwhite Gaussian noise, detections,
and estimations in nonwhite noise estimation of signals with random amplitude and phase, Rayleigh channels,
Rician channels, multiple channels.

Queueing theory: Queueing models, Kendall’s notation, The M/M/1 Queueing system, Little law, M/M/1/N
Queueing systems, The M/G/1, Queueing systems, Network of queues. Discrete time Queueing systems,
Queueing on space division packet switch, Queueing on single- buffered Banyan network.

Textbooks/Reference Books:
1. A. Papoulis & S. U. Pillai, Probability, Random variables and stochastic processes, 4th Edition,
McGraw Hill
2. K. Sam Shanmugan & A. M. Breipohi, Random Signals, 2nd Edition, Wiley
3. John J. Proakis, Digital communication, Fourth Ed., MGH
4. Thomas G. Robertazzi, Computer networks and systems: Queueing Theory and Performance
Evaluation, 3rd Edition, Springer.

111
COMMUNICATION SYSTEM DESIGN (EC21326)

Introduction to RF and Wireless Technology: Complexity comparison, design bottleneck, choice of


technology, mobile RF technology. Basic concepts in RF design, frequency and spectrum, nonlinearity and time
Variance, intersymbol interference, sensitivity and dynamic range, basic impedance transformation.

Transceiver Architectures: Heterodyne receivers, homodyne receivers, image-reject receivers, digital-IF


receivers, subsampling receivers, direct-conversion techniques, two-step transmitters, case studies – Motorola’s
FM receiver, Phillips’ DECT transceiver, Lucent Technologies GSM transceiver, Phillips GSM transceiver.

Amplifiers, Mixers, and Oscillators: High frequency amplifiers, low noise amplifiers, power amplifiers.
Mixers: general considerations, transistor mixers, CMOS mixers, noise in mixers. Oscillators: general
considerations, basic LC oscillator topologies, negative resistance oscillators, voltage controlled oscillators,
phase noise, oscillator pulling and pushing, quadrature signal generation.

Frequency Synthesizers: General considerations, Phase-Locked Loop (PLL): basic PLL, charge-pump PLL,
noise in PLL. RF synthesizer architectures, frequency dividers.
Design and computer simulation of a RF transceiver.

Textbooks/Reference Books:
1. B. Razavi, RF microelectronics, Prentice Hall, 1998
2. S. Haykin, Communication Systems, John-Wiley & Sons, 4th edition, 2000
3. R. Ludwig and P. Bretchko, RF circuit design – theory and application, Prentice Hall, 2000
4. C. W. Sayre, Complete wireless design, McGraw-Hill, 2001
5. S. Furber, ARM System-on-Chip Architecture, Addison-Wesley Professional, 2 edition, 2000

INFORMATION THEORY AND CODING (EC21327)

Information theory: Information, entropy, information rate, classification of codes, Kraft McMillan inequality,
sources, memoryless and Markov, source coding theorem, Shannon Fano coding, Huffman coding, Extended
Huffman coding - joint and conditional entropies, mutual information, discrete memoryless channels – BSC,
BEC – channel capacity, Shannon limit.

Source coding: text, audio and speech: Text: adaptive Huffman coding, arithmetic coding, LZW algorithm –
Audio: perceptual coding, masking techniques, psychoacoustic model, MEG audio layers I,II,III, Dolby AC3 -
Speech: channel vocoder, linear predictive coding.

Source coding: Image and Video: Image and video formats – GIF, TIFF, SIF, CIF, QCIF, Image compression:
READ, JPEG, Video compression: principles- I,B,P frames, motion estimation, motion compensation, H.261,
MPEG standard.

Error control coding - Block codes: Definitions and principles: Hamming weight, Hamming distance,
Hamming Bound, minimum distance decoding, single parity codes, Hamming codes, repetition codes, linear
block codes, cyclic codes, syndrome calculation, encoder and decoder, CRC.
112
Error control coding - Convolutional codes: Convolutional codes, code tree, trellis, state diagram, encoding,
decoding: sequential search and Viterbi algorithm, principle of Turbo coding.

Textbooks/Reference Books:
1. R Bose, Information Theory, Coding and Crptography, TMH 2007
2. Fred Halsall, Multidedia Communications: Applications, Networks, Protocols and Standards,
Pearson Education Asia, 2002
3. K Sayood, Introduction to Data Compression, 3/e, Elsevier 2006
4. S Gravano, Introduction to Error Control Codes, Oxford University Press 2007
5. Amitabha Bhattacharya, Digital Communication, TMH 2006
6. B. P. Lathi, Modern Digital and Analog Communications Systems, The Oxford Series in Electrical
and Computer Engineering, Third Edition
7. Thamas Cover, Information theory and Coding, 2nd Edition, Prentice Hall

113
VLSI FOR COMMUNICATION (EC21328)

Review of communication concepts from circuit designer perspective. General VLSI optimization techniques,
partitioning and synthesis of different telecommunication blocks. Telecommunication system integration in
single chip/ multichip module, high throughput and low delay/latency design requirement for real time
communication, critical path analysis for high-speed VLSI design, switched capacitor circuits, high speed A/D
and D/A converters. Receiver architectures for different systems. Active and passive mixers. Frequency
synthesizer circuits.

VLSI CAD tools, software and languages, low power circuits/architecture design methodologies, high speed
switching circuits, high speed memory organization, high speed control & decision circuits, design of analog
front ends, impedance matching with bonding pads, Si-Ge devices for RF circuits, interface for optical fibers.

VLSI for generation and detection of PSK, FSK, QAM etc. subscriber line interface circuits, network switching
circuits, VLSI systems for modem design, adaptive filters, equalizers, CVSD codecs, PLL, ISDN, UDLT,
USART, Viterbi decoding, data encryption, DSPs, audio/video compression, video conferencing, Case studies
for implementation of specific protocols currently in vogue.

VLSI design and optimization of switch architecture for next generation networks. Soft switch design and its
performance issues.

Textbooks/Reference Books:

1. Jose Epifanio Franca and Yannis Tsividis, Design of Analog-Digital VLSI Circuits for
Telecommunications and Signal Processing, (2nd Edition) Prentice Hall

2. Keshab K. Parhi, VLSI Digital Signal Processing Systems: Design and


Implementation, Wiley-Interscience; 1 edition

3. Richard J. Higgins, Digital signal processing in VLSI, Prentice Hall

ANTENNA DESIGN AND MIMO SYSTEMS (EC22326)

Overview of Antennas: Introduction, types of antennas, radiation mechanism of single wire, two wire,
dipoles.

Fundamental parameters of antennas: Radiation pattern, radiation power density, radiationintensity,


beamwidth, directivity, antenna efficiency, beam efficiency, bandwidth, polarization, input impedance,
antenna radiation efficiency, maximum directivity and maximum effective area, antenna temperature,
reciprocity, Friis transmission formula.
Wire antennas and Aperture Antennas: Short dipole, Half Wave dipole, monopole, small loop antennas,
slot antennas, open ended waveguide radiator, Horn antennas, pyramidal Horn antenna, reflector antenna.

114
Antenna Arrays and Special Antennas: Linear array and pattern multiplication, two element array,
uniform array, array with non-uniform excitation.

Special Antennas and Antenna for mobile devices: Monopole and dipole antennas, long wire, V and
Rhombic antennas, Yagi-Uda array, Turnstile antenna, Helical antenna, Biconicalantenna, Log-periodical
array, spiral antenna, microstrip patch antenna, loop antenna, lens antenna. PIFA, integral IFA, internal
folded monopole, ceramic antennas, stubby antennas andwhip stubby antennas.

MIMO antenna systems: Introduction, diversity antennas, key to gigabyte wireless, current issues and
challenges of MIMO antenna design, impact of antenna on MIMO performance, MIMO signalling.
Textbooks/Reference Books:
1. C A Balanis, Antenna Theory: Analysis and Design, 3rd Edition Wiley, 2009
2. John D Kraus, Ronald J Marhefka and Ahmad S Khan T, Antennas and WavePropagation, 4th
Edition, Tata McGraw-Hill Education, 2006
3. Constantine A. Balanis, Michael A. Jensen and Jon W. Wallace, Antenna Design
Considerations for MIMO and Diversity Systems, WILEY Pub, 2010
4. Mohammad S. Sharawi, Printed MIMO Antenna Engineering, Artech House, 2014

115
ARTIFICIAL INTELLIGENCE AND MACHINE LEARNING (EC22328)

Basic Principles: Introduction, Experimental Evaluation: Over-fitting, Cross-Validation. Sample


complexity. VC-dimension, Regularization, Theory of generalization, Bias-Variance trade off,
Reinforcement Learning.
Supervised Learning: Linear and Logistic Regression, Decision Tree Learning, k-NN classification,
SVMs, Ensemble learning: boosting, bagging.
Neural Network: Artificial Neural Networks: Perceptron, Multilayer networks andbackpropagation.
Radial Basis function NN
Probabilistic Models: Maximum Likelihood Estimation, MAP (Maximum a-posteriori), Bayes
Classifiers, Naive Bayes. Markov Networks, Bayesian Networks, Factor Graphs, Inference in
Graphical Models.
Unsupervised Learning: K-means and Hierarchical Clustering, Gaussian Mixture Models,PAC learning.
EM algorithm, Hidden Markov Models.

References:

1. Tom Mitchell, Machine Learning, McGraw Hill, 1997.


2. Christopher M. Bishop, Pattern Recognition and Machine Learning, Springer 2006.
3. Richard O. Duda, Peter E. Hart, David G. Stork, Pattern Classification, John Wiley &Sons,
2006.

4. Trevor Hastie, Robert Tibshirani, Jerome Friedman, The Elements of StatisticalLearning: Data
Mining, Inference, and Prediction, Springer 2009.

5. Garg, P. Bhartia, Inder Bahl and A. Ittipiboon, Microstrip Antenna Design Handbook,Artech
House Publishers, 2000

116

You might also like