Ug583 Ultrascale PCB Design
Ug583 Ultrascale PCB Design
PCB Design
User Guide
       Chapter 4: PCB Guidelines for the PS Interface in the Zynq UltraScale+ MPSoC
               Boot Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .175
               CAN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .175
               DisplayPort . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .175
               eMMC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .175
               Ethernet MAC RGMII . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .176
               Ethernet MAC SGMII . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .176
               I2C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .176
               JTAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .176
               NAND Memory Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .176
               PCIe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .177
               PS_INIT_B, PS_PROG_B, and PS_DONE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .177
               PS Reference Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .177
               PS Reset (External System Reset and POR Reset) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .177
               QSPI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .177
               Real-Time Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .178
               SATA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .178
               SD/SDIO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .178
               SPI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .178
               Trace Port Interface Unit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179
               Triple Time Counter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179
               UART . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179
               USB 2.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179
               USB 3.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179
               Watchdog Timer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179
               PS-GTR Transceiver Interfaces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179
               AC Coupled Reference Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .184
               Unused Reference Clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .184
               Reference Clock Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .184
               Power Supply Noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .184
               PCB Design Checklist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .185
       Virtex® UltraScale+™ devices provide the highest performance and integration capabilities
       in a FinFET node, including both the highest serial I/O and signal processing bandwidth, as
       well as the highest on-chip memory density. As the industry's most capable FPGA family,
       the Virtex UltraScale+ devices are ideal for applications including 1+Tb/s networking and
       data center and fully integrated radar/early-warning systems.
       Virtex UltraScale devices provide the greatest performance and integration at 20 nm,
       including serial I/O bandwidth and logic capacity. As the industry's only high-end FPGA at
       the 20 nm process node, this family is ideal for applications including 400G networking,
       large scale ASIC prototyping, and emulation.
       Zynq® UltraScale+ MPSoC devices provide 64-bit processor scalability while combining
       real-time control with soft and hard engines for graphics, video, waveform, and packet
       processing. Integrating an Arm®-based system for advanced analytics and on-chip
       programmable logic for task acceleration creates unlimited possibilities for applications
       including 5G Wireless, next generation ADAS, and Industrial Internet-of-Things.
       This user guide describes the UltraScale architecture PCB design and pin planning resources
       and is part of the UltraScale Architecture documentation suite available at:
       www.xilinx.com/ultrascale.
       Introduction
       This chapter documents the power distribution system (PDS) for UltraScale devices,
       including decoupling capacitor selection, placement, and PCB geometries. A simple
       decoupling method is provided for each device. Basic PDS design principles are covered, as
       well as simulation and analysis methods. This chapter contains the following sections:
       Decoupling methods other than those presented in these tables can be used, but the
       decoupling network should be designed to meet or exceed the performance of the simple
       Because device capacitance requirements vary with CLB and I/O utilization, PCB decoupling
       guidelines are provided on a per-device basis based on very high utilization so as to cover
       a majority of use cases. Resource usage consists (in part) of:
       •      80% of LUTs and registers at 245 MHz and 25% toggle rate
       •      80% block RAM and DSP at 491 MHz and 50% toggle rate
       •      50% MMCM and 25% PLL at 500 MHz
       •      25% I/O at SSTL 1.2/1.35 at 1200 MHz and 40% toggle rate
       •      75% I/O at POD 1.2 at 1200 MHz DDR and 40% toggle rate
       An importable XPE file that contains the above usage assumptions in a KU9P FFVE900
       device can be downloaded from the Xilinx website.
       The slew rate of the switching event is dependent on the design, and can be estimated to be
       between 1 ns and 100 ns (or longer). Smaller current designs generally have faster current
       slew rates, while larger designs tend to have slower slew rates. A general rule of thumb for
       high-current designs can be considered to be 0.25 ns per amp (or 4 A/ns) of step current.
       The Xilinx Power Estimator (XPE) tool is used to estimate the current on each power rail.
       Kintex UltraScale FPGAs Data Sheet: DC and AC Switching Characteristics (DS892) [Ref 1]
       and Virtex UltraScale FPGAs Data Sheet: DC and AC Switching Characteristics (DS893) [Ref 2]
       provide the operating range for all the various power rails. The PCB designer should ensure
       that the AC ripple plus the DC tolerance of the voltage regulator do not exceed the
       operating range.
The capacitor numbers shown in this user guide are based on the following assumptions:
        The target impedance is calculated using the 2% AC ripple along with the current estimates
        from XPE for the above resource utilization to arrive at the capacitor recommendations. The
        equation for target impedance is:
                                                                                               % Ripple
                                            VoltageRailValue × -----------------------
                                                                                                      100
                                 Z target = --------------------------------------------------------------------------                         Equation 1-1
                                                           StepLoadCurrent
        VCCINT, VCCAUX, and VCCBRAM capacitors are listed as the quantity per device, while VCCO
        capacitors are listed as the quantity per I/O bank. Device performance at full utilization is
        equivalent across all devices when using these recommended networks.
        Table 1-2 through Table 1-9 do not provide the decoupling networks required for the GTY
        or GTH transceiver power supplies. For this information, refer to the UltraScale Architecture
        GTH Transceivers User Guide (UG576) [Ref 6] or the UltraScale Architecture GTY Transceivers
        User Guide (UG578) [Ref 7].
        RECOMMENDED: Refer to the UltraScale Architecture Schematic Review Checklist (XTP344) [Ref 8] for
        a comprehensive checklist for schematic review which complements this user guide.
Table 1-2: Kintex UltraScale Devices Power Supply Decoupling Capacitors (Cont’d)
Notes:
1. V CCINT and VCCINT_IO must be tied together on the PCB.
2. V CCINT, V CCINT_IO, and VCCBRAM can be tied together if all three rails are operated at the same voltage.
3. V CCAUX and V CCAUX_IO must be tied together on the PCB.
4. One 47 µF capacitor is required for up to four HP/HR I/O banks when powered by the same voltage.
5. 470 µF capacitors can be used in place of 680 µF capacitors at a rate of four 470 µF capacitors per three 680 µF capacitors.
   See Table 1-11 for 470 µF capacitor specifications.
6. PCB decoupling capacitors cover down to approximately 100 kHz, depending on voltage regulator design. See PCB Bulk
   Capacitors about the need for 680 µF and/or 100 µF capacitors.
Notes:
1. V CCINT and VCCINT_IO must be tied together on the PCB.
2. V CCINT, V CCINT_IO, and VCCBRAM can be tied together if all three rails are operated at the same voltage.
3. V CCAUX and V CCAUX_IO must be tied together on the PCB.
4. One 47 µF capacitor is required for up to four HP/HR I/O banks when powered by the same voltage.
5. 470 µF capacitors can be used in place of 680 µF capacitors at a rate of four 470 µF capacitors per three 680 µF capacitors.
   See Table 1-11 for 470 µF capacitor specifications.
6. PCB decoupling capacitors cover down to approximately 100 kHz, depending on voltage regulator design. See PCB Bulk
   Capacitors about the need for 680 µF and/or 100 µF capacitors.
Notes:
1. Connect VCCINT and V CCINT_IO together for -3, -2, and -1 speed grades.
2. Connect VCCBRAM and VCCINT_IO together for -2L and -1L speed grades.
3. V CCINT, V CCINT_IO, and VCCBRAM can be tied together if all three rails are operated at the same voltage.
4. One 47 µF capacitor is required for up to four HP/HD I/O banks when powered by the same voltage.
5. 470 µF capacitors can be used in place of 680 µF capacitors at a rate of four 470 µF capacitors per three 680 µF capacitors.
   See Table 1-12 for 470 µF capacitor specifications.
6. PCB decoupling capacitors cover down to approximately 100 kHz, depending on voltage regulator design. See PCB Bulk
   Capacitors about the need for 680 µF and/or 100 µF capacitors.
7. See Chapter 1, Power Distribution System in UltraScale Devices for capacitor specifications.
Notes:
1. Connect VCCINT and V CCINT_IO together for -3, -2, and -1 speed grades.
2. Connect VCCBRAM and VCCINT_IO together for -2L and -1L speed grades.
3. V CCINT, V CCINT_IO, and VCCBRAM can be tied together if all three rails are operated at the same voltage.
4. One 47 µF capacitor is required for up to four HP/HR I/O banks when powered by the same voltage.
5. 470 µF capacitors can be used in place of 680 µF capacitors at a rate of four 470 µF capacitors per three 680 µF capacitors.
   See Table 1-12 for 470 µF capacitor specifications.
6. PCB decoupling capacitors cover down to approximately 100 kHz, depending on voltage regulator design. See PCB Bulk
   Capacitors about the need for 680 µF and/or 100 µF capacitors.
7. See Chapter 2, PCB Guidelines for Memory Interfaces for capacitor specifications.
Table 1-6:     Decoupling Capacitor Recommendations for Virtex UltraScale+ HBM Devices (PL Rails)
                                              VCCINT                     VCCBRAM/VCCINT_IO            VCCAUX/VCCAUX_IO              HPIO
                               680 µF      100 µF           4.7 µF       47 µF          4.7 µF        47 µF             4.7 µF      47 µF
XCVU31P-FSVH1924                   2            3             5            1              1                1              2           1
XCVU33P-FSVH2104                   2            3             5            1              1                1              2           1
XCVU35P-FSVH2104                   4            6            11            1              1                2              4           1
XCVU35P-FSVH2892                   4            6            11            1              1                2              4           1
XCVU37P-FSVH2892                   5            9            16            1              2                3              7           1
Notes:
1. If VCCINT, V CCBRAM, and V CCINT_IO are connected to the same voltage rail, combine their respective capacitors.
2. V CCAUX and V CCAUX_IO should be tied together on the PCB.
3. One 47 µF capacitor is required for up to four HP/HR I/O banks when powered by the same voltage.
4. 470 µF capacitors can be used in place of 680 µF capacitors at a rate of four 470 µF capacitors per three 680 µF capacitors.
   See Table 1-12 for 470 µF capacitor specifications.
5. PCB decoupling capacitors cover down to approximately 100 kHz, depending on voltage regulator design. 680 µF and
   100 µF capacitors might not be needed depending on the regulator and switching frequency.
Table 1-7:     Decoupling Capacitor Recommendations for Virtex UltraScale+ HBM Devices (HBM Rails)
                     VCC_HBM                                         VCC_IO_HBM                                      VCCAUX_HBM
 680 µF       100 µF      4.7 µF       0.47 µF      680 µF        100 µF       4.7 µF     0.47 µF       47 µF           4.7 µF     0.47 µF
    1            1             2          4             1            1           2            4                1           2         4
Notes:
1. Place 0.47 µF underneath the FPGA, or as close to it as possible.
2. Step load assumptions for V CC_HBM and V CC_IO_HBM are between 3A and 4A.
Table 1-8 lists the specifications for the capacitors listed in Table 1-6 and Table 1-7.
Notes:
1. Values can be larger than specified.
2. Body size can be smaller than specified.
3. Voltage rating can be higher than specified.
4. When selecting capacitors, ensure they meet or exceed the temperature requirements of the system.
Notes:
1. Connect VCCINT and V CCINT_IO together for -3, -2, and -1 speed grades.
2. Connect VCCBRAM and VCCINT_IO together for -2L and -1L speed grades.
3. V CCINT, V CCINT_IO, and VCCBRAM can be tied together if all three rails are operated at the same voltage.
4. One 47 µF capacitor is required for up to four HP/HD I/O banks when powered by the same voltage.
5. 470 µF capacitors can be used in place of 680 µF capacitors at a rate of four 470 µF capacitors per three 680 µF capacitors.
   See Table 1-12 for 470 µF capacitor specifications.
6. PCB decoupling capacitors cover down to approximately 100 kHz, depending on voltage regulator design. See PCB Bulk
   Capacitors about the need for 680 µF and/or 100 µF capacitors.
7. See Chapter 1, Power Distribution System in UltraScale Devices for capacitor specifications.
100 µF 4.7 µF 100 µF 4.7 µF 100 µF 4.7 µF 100 µF 4.7 µF 4.7 µF 4.7 µF 100 µF 4.7 µF 100 µF 4.7 µF 100 µF 4.7 µF 100 µF 4.7 µF 0.47 µF
  1      1      1       1      1       1    1      1         See          See           1       1      1      1      1         1   1       2        4
                                                            note 1       note 1
Notes:
1. For PS MGT supply decoupling, see PS-GTR Transceiver Interfaces, page 179.
2. Add two 0.47 µF capacitors to each PS voltage rail if using SBVA484 devices.
3. V CCO_PSIO banks that share the same rail can utilize one set of decoupling capacitors (1 x 100 µF, 1 x 4.7 µF) for up to four
   connected VCCO_PSIO banks.
       VCC_PSDDR_PLL Supply
       VCC_PSDDR_PLL is a 1.8V nominal supply that provides power to the PLL used for the PS DDR
       controller. It can be powered separately or derived from the VCC_PSAUX supply. If powered by
       VCC_PSAUX, VCC_PSDDR_PLL must be filtered through a 120Ω @ 100 MHz, size 0603 ferrite
       bead and a 10 µF or larger, size 0603 decoupling capacitor. In both cases, a 0.47 µF to 4.7 µF
       0402 capacitor must be placed near the V CC_PSDDR_PLL BGA via.
       The PCB construction of the V CC_PSDDR_PLL power supply must be carefully managed. The
       recommended connection between the 10 µF 0603 capacitor and the VCC_PSDDR_PLL BGA
       ball is a planelet with a minimum width of 80 mil (2 mm) and a length of less than 3,000 mil
       (76 mm). If a planelet cannot be used, a trace with a maximum impedance of 40Ω and a
       length of less than 2,000 mil (50.8 mm) must be used. The 0.47 µF to 4.7 µF 0402 or 0201
       capacitor should be placed a close as possible to the FPGA, along with the shortest possible
       trace length. Figure 1-1 shows an example of the filtering and local capacitor circuit used
       when V CC_PSDDR_PLL is derived from VCC_PSAUX.
       X-Ref Target - Figure 1-1
VCC_PSAUX
                                               FERRITE-120
                                                                                   VCC_PSDDR_PLL
10 µF 0.47–4.7 µF
                                                                     GND   GND
                                                                                       UG583_c5_25_031616
       Figure 1-2 shows an example of the layout of the same filtering circuit.
       X-Ref Target - Figure 1-2
UG583_c8_26_031616
       If the VCU is not going to be used, the V CCINT_VCU pins can be grounded to reduce leakage
       current.
Location               Under BGA          1 near BGA, 4 between       Between BGA and              Near BGA           1 near regulator,
                                            BGA and regulator             regulator                                    2 between BGA
                                                                                                                        and regulator
           In addition, Xilinx recommends placing a ground plane directly above or below the plane
           that contains VCCINT_VCU to reduce inductance.
           1. If there are an unusually large number of vias or other keepouts within the plane, a wider plane might need to be drawn to
              reduce resistive losses and to maintain the ability to provide at least 3A of current.
VCCINT_VCU Pins
                                    VCCINT_VCU
                                     Regulator
X20168-020618
       Capacitor Specifications
       The electrical characteristics of the capacitors in Table 1-2 through Table 1-9 are specified
       in Table 1-12, and are followed by guidelines on acceptable substitutions. The equivalent
       series resistance (ESR) ranges specified for these capacitors can be over-ridden. However,
       this requires analysis of the resulting power distribution system impedance to ensure that
       no resonant impedance spikes result.
Notes:
1. Values can be larger than specified.
2. Body size can be smaller than specified.
3. Voltage rating can be higher than specified.
          The tantalum and polymer aluminum capacitors specified in Table 1-12 were selected for
          their values and controlled ESR values. They are also ROHS compliant. If another
          manufacturer’s tantalum, polymer aluminum, or ceramic capacitors are used, you must
          ensure they meet the specifications of Table 1-12 and are properly evaluated via simulation,
          s-parameter parasitic extraction, or bench testing.
       For most consolidations of VCCO, V CCINT, VCCAUX, and VCCBRAM capacitors, large tantalum
       capacitors with sufficiently low ESL and ESR are readily available.
             Land Pattern
                                                    Not Recommended.
               End Vias
                                                 Connecting Trace is Too Long
             Long Traces
                                                Land Pattern
                                                  End Vias
                                                 0.61mm
                                                 (24 mils)
                                                                                        1.12 mm                                  1.12 mm
                                                                                        (44 mils)                                (44 mils)
                              For most purposes, assuming the decoupling requirements and filtering requirements are
                              met, many of the power rails can be consolidated to reduce the total number of power
                              regulators required to power a Zynq UltraScale+ MPSoC. In many applications, only five
                              power regulators are required to power a Zynq UltraScale+ MPSoC. (Some of the five power
                              regulators might be shared with other devices in the system.) However, the amount of
                              consolidation that is possible depends on user requirements.
       For example, many applications periodically need to enter ultra-low power, reduced
       functionality states to extend battery life or to reduce their overall power consumption. To
       facilitate this, Zynq UltraScale+ MPSoCs have four independent power domains that can be
       individually isolated: low-power domain (LPD), full-power domain (FPD), PL power domain
       (PLPD), and battery power domain (BPD). Applications requiring access to these ultra-low
       power states that cannot be achieved via power gating options in software might need
       independent control of the power rails associated with each power domain to enable the
       power associated with unused domains to reduce to zero. This results in the need for more
       power regulators or for the use of load switches. In this document, we refer to this use case
       as full power management flexibility. More detail on Zynq UltraScale+ MPSoC power
       domains can be found in Zynq UltraScale+ MPSoC Technical Reference Manual (UG1085)
       [Ref 23] and Managing Power and Performance with the Zynq UltraScale+ MPSoC (WP482)
       [Ref 24]. The benefits of leveraging the power domains and having full power management
       flexibility can be quantified using the Xilinx Power Estimator (XPE) tool.
       Other applications nearly always operate at full performance or can meet their power
       targets by disabling functionality via IP power-gating options (e.g., disabling processor
       cores or clock gating portions of the programmable logic). These applications do not need
       individual control of the power rails associated with each power domain. Therefore, these
       applications can achieve the maximum amount of power supply consolidation. For
       simplicity in this user guide, these applications are referred to as always on, and are further
       classified into three unique use cases. Together with the first use case (full power
       management flexibility), a total of four key use cases are discussed in this section:
       The remainder of this section illustrates the power supply consolidation that can be
       achieved for the above use cases. In addition, Power Management Partners provides links to
       power delivery solutions from a range of Xilinx power delivery partners for the use cases
       mentioned above.
       Table 1-13:     Always On: Cost-Optimized Power Rail Consolidation (-1 and -2 Devices)
                               Power                Sequence                  Possible Power Rail Consolidation
                              Regulator
            Required               1               See Zynq            VCCINT, VCC_PSINTFP, VCC_PSINTLP,
                                              UltraScale+ MPSoC        VCC_PSINTFP_DDR, VCCINT_IO, VCCBRAM
                                              Data Sheet: DC and
                                   2                                   VCC_PSAUX, VCC_PSADC(1), VCC_PSDDR_PLL (2),
                                                 AC Switching
                                                                       VCCAUX, VCCAUX_IO, and VCCADC (1)
                                                Characteristics
                                   3           (DS925) [Ref 22]        VCC_PSPLL, VMGTAVTT (GTH), and
                                                                       VMGTAVTT (GTY)
                                   4                                   VCCO_PSDDR
                                   5                                   VCCO_PSIO[0:3] assuming all PS I/Os run from
                                                                       same voltage
           Required:               9                                   VCCINT_VCU
          EV -1 and -2
          User-defined             6                                   VPS_MGTRAVCC
                                   7                                   VPS_MGTRAVTT, VMGTVCCAUX (GTH), and
                                                                       VMGTVCCAUX (GTY)
                                   8                                   VMGTAVCC (GTH) and VMGTAVCC (GTY)
                                   10                                  Optional PL and PS I/O voltages
        Notes:
        1. Assuming rail is filtered as per UltraScale Architecture System Monitor User Guide (UG580) [Ref 25].
        2. Assuming rail is filtered as per V CC_PSDDR_PLL Supply, page 27.
        3. When consolidating, ensure that all tolerances are met.
                                                                                                                                                            Multiple
                                                                                                                                                            Banks
                                                                                          Zynq UltraScale+ MPSoC                                           HD/HPIO
                                                                       VCCINT                                              VCCO[0..] (HDIO)
                                   1                                              0.85V                      1.2V-3.3V
                                                               VREFP (Optional)                                            VCCO[0..] (HPIO)
                                                                                  1.25V                        1V-1.8V
                                                                      VCCAUX
                                   2                                              1.8V
                                                                                                                           VMGTAVCC (GTH)
                                                                   VCCAUX_IO
                                                                                  1.8V                             0.9V                                                 8
                                                                                                                           VMGTAVCCAUX (GTH)
                                                                 filter VCCADC    1.8V                             1.8V                                                 7
                                                                                                                           VMGTAVTT (GTH)
                                                                                                                   1.2V                                                 3
                                                                  VCCINT_VCU                                               VMGTAVCC (GTY)
                                   9                                              0.9V                             0.9V
                                                                    VCCBRAM                                        1.8V   VMGTAVCCAUX (GTY)
                                                                                  0.85V
                                                                    VCCINT_IO                                      1.2V    VMGTAVTT (GTY)
                                                                                  0.85V
                                                                                                                           VCC_PSPLL
                                                                                                                1.2V
                                                                                                                           VCCO_PSIO[0]
                                                                 VCC_PSINTLP                                1.8V-3.3V
                                                                                    0.85V                                  VCCO_PSIO[1]
                            External Program
                                                                 VCC_PSINTFP
                                                                                    0.85V                   1.8V-3.3V                                                   5
                                                             VCC_PSINTFP_DDR                                               VCCO_PSIO[2]
                                Memory                                              0.85V                   1.8V-3.3V
                                                                                                                           VCCO_PSIO[3]
          VDDQ/2                       VDDQ/2                     VCC_PSAUX
                                                                                    1.8V
                                                                                                   PS       1.8V-3.3V
                                                                                                                           VCCO_PSDDR
                                                    filter        VCC_PSADC
                                                                                    1.8V
                                                                                                            1.1V-1.5V                                                   4
                                                               VCC_PSDDR_PLL                                               VPS_MGTRAVCC
                                                    filter                          1.8V                       0.85V                                                    6
                                                                                                                1.8V       VPS_MGTRAVTT
DDR VDD
X18635-120417
Note: In Figure 1-5, the dashed lines are dependent on the user configuration.
                               Depending on user configuration, more than five regulators might be required. Table 1-14
                               details the number of regulators required to power Zynq UltraScale+ MPSoCs for a variety
                               of device configurations. Figure 1-6 shows the power rail consolidation for the
                               configuration requiring only five regulators using CG or EG devices.
                               Additional power regulators are typically required for termination voltages and reference
                               voltages of the DDR memory associated to the PS or PL.
                               Table 1-14:       Number of Rails for “Always On: Optimized for Cost (-1 and -2 Devices)” Scenario
                                                                                                             Devices                          Number of Power
                                                             Configuration                                (Speed Grades)                        Regulators
                                                                                                            CG (-1, -2)
                                 PS and PL DDR, 1.8V I/O, and 2.5V I/O or 3.3V I/O with                                                       Five (1, 2, 3, 4, 5)
                                                                                                            EG (-1, -2)
                                 no MGTs
                                                                                                             EV (-1, -2)                      Six (1, 2, 3, 4, 5, 9)
                                                                                                            CG (-1, -2)
                                                                                                                                       Seven (1, 2, 3, 4, 5, 6, 7)
                                 PS and PL DDR, 1.8V I/O, 2.5V or 3.3V I/O and PS MGTs                      EG (-1, -2)
                                                                                                             EV (-1, -2)              Eight (1, 2, 3, 4, 5, 6, 7, 9)
Table 1-14: Number of Rails for “Always On: Optimized for Cost (-1 and -2 Devices)” Scenario
                                                                                                                                                       Multiple
                                                                                            Zynq UltraScale+ MPSoC                                     Banks
                                                                                                                                                      HD/HPIO
                                                                           VCCINT                                          VCCO[0..] (HDIO)
                                    1                                               0.85V                      1.2V-3.3V
                                                                VREFP (Optional)                                           VCCO[0..] (HPIO)
                                                                                    1.25V                        1V-1.8V
                                                                           VCCAUX
                                    2                                               1.8V
                                                                    VCCAUX_IO
                                                                                    1.8V
                                                                           VCCADC
                                                                  filter            1.8V
                                                                       VCCBRAM
                                                                                    0.85V
                                                                      VCCINT_IO
                                                                                    0.85V
                                                                                                                           VCC_PSPLL
                                                                                                                  1.2V                                              3
                                                                  VCC_PSINTLP                                              VCCO_PSIO[0]
                                                                                      0.85V                   1.8V-3.3V
                                                                  VCC_PSINTFP                                              VCCO_PSIO[1]
                            External Program
                                                              VCC_PSINTFP_DDR
                                                                                      0.85V                   1.8V-3.3V                                             5
                                Memory                                                0.85V                                VCCO_PSIO[2]
                                                                                                              1.8V-3.3V
          VDDQ/2                        VDDQ/2                     VCC_PSAUX
                                                                                      1.8V
                                                                                                     PS       1.8V-3.3V
                                                                                                                           VCCO_PSIO[3]
                                                                   VCC_PSADC                                               VCCO_PSDDR
                                                     filter                           1.8V                    1.1V-1.5V                                             4
                                                                VCC_PSDDR_PLL
                                                     filter                           1.8V
                                DDR
                                                     VDD
X18634-120417
Figure 1-6: Always On: Cost-Optimized with Only Five Power Regulators (CG or EG Devices)
       Always On: Optimized for Power and/or Efficiency (-1L and -2L Devices)
       For applications requiring the lowest power dissipation and/or the highest efficiency, Xilinx
       offers the -1L and -2L speed grades. To achieve the highest efficiency and lowest power, the
       -1L and -2L devices can operate with a VCCINT voltage of 0.72V. To enable VCCINT to run at
       0.72V an additional power regulator is required.
       Other than the need for an additional power regulator for VCCINT, the possible power rail
       consolidation is similar to the previous use case. Table 1-15 broadly defines what power rail
       consolidation is possible for this use case, assuming the decoupling and filtering
       requirements on the individual supplies as outlined in this document are met. For further
       clarity, Figure 1-7 shows the possible power rail consolidation graphically.
As shown in Table 1-15, the minimum number of regulators required for this use case is six.
        Notes:
        1. Assuming rail is filtered as per UltraScale Architecture System Monitor User Guide (UG580) [Ref 25].
        2. Assuming rail is filtered as per V CC_PSDDR_PLL Supply, page 27.
                                                                                                                                                      Multiple
                                                                                                                                                      Banks
                                                                                           Zynq UltraScale+ MPSoC                                    HD/HPIO
                                                                          VCCINT                                            VCCO[0..] (HDIO)
                                    1                                              0.72/0.85V                 1.2V-3.3V
                                                               VREFP (Optional)                                             VCCO[0..] (HPIO)
                                                                                   1.25V                        1V-1.8V
                                                                          VCCAUX
                                    3                                              1.8V
                                                                                                                            VMGTAVCC (GTH)
                                                                   VCCAUX_IO
                                                                                   1.8V                             0.9V                                          9
                                                                          VCCADC                                            VMGTAVCCAUX (GTH)
                                                                 filter            1.8V                             1.8V                                          8
                                                                                                                            VMGTAVTT (GTH)
                                                                                                                    1.2V                                          4
                                                                  VCCINT_VCU                                                VMGTAVCC (GTY)
                                   10                                              0.9V                             0.9V
                                                                    VCCBRAM                                         1.8V   VMGTAVCCAUX (GTY)
                                                                                   0.85V
                                                                     VCCINT_IO                                      1.2V    VMGTAVTT (GTY)
                                                                                   0.85V
                                    2
                                                                                                                            VCC_PSPLL
                                                                                                                 1.2V
                                                                                                                            VCCO_PSIO[0]
                                                                 VCC_PSINTLP                                 1.8V-3.3V
                                                                                      0.85V                                 VCCO_PSIO[1]
                                                                 VCC_PSINTFP
                                                                                      0.85V                  1.8V-3.3V                                            6
                            External Program                                                                                VCCO_PSIO[2]
                                                             VCC_PSINTFP_DDR
                                Memory                                                0.85V                  1.8V-3.3V
                                                                                                                            VCCO_PSIO[3]
            VDDQ/2                      VDDQ/2                    VCC_PSAUX
                                                                                      1.8V
                                                                                                    PS       1.8V-3.3V
                                                                                                                            VCCO_PSDDR
                                                    filter
                                                                  VCC_PSADC
                                                                                      1.8V                   1.1V-1.5V                                            5
                                                              VCC_PSDDR_PLL                                                 VPS_MGTRAVCC
                                                    filter                            1.8V                      0.85V                                             7
                                                                                                                 1.8V       VPS_MGTRAVTT
DDR VDD
X18637-120417
                               Figure 1-7:       Always On: Power and/or Efficiency-Optimized Power Rail Consolidation for
                                                                     Low-Power Devices
Note: In Figure 1-7, the dashed lines are dependent on the user configuration.
                                Table 1-16 shows the number of power regulators for a variety of common configurations
                                of Zynq UltraScale+ MPSoC for this use case.
                                Table 1-16: Number of Power Regulators Needed for “Always On: Optimized for Power and/or
                                Efficiency (-1L and -2L Devices)” Scenario
                                                                  Configuration                                             Number of Power Regulators
                                 PS and PL DDR, 1.8V I/O and 2.5V or 3.3V I/O with no MGTs                                      Seven (1, 2, 3, 4, 5, 6, 10)
                                 PS and PL DDR, 1.8V I/O, 2.5V I/O and 3.3V I/O with no MGTs                                  Eight (1, 2, 3, 4, 5, 6, 10, 11)
                                 PS and PL DDR, 1.8V I/O, 2.5V or 3.3V I/O and PS MGTs                                       Nine (1, 2, 3, 4, 5, 6, 7, 8, 10)
                                 PS DDR, 1.8V I/O, 3.3V I/O, PS MGTs and PL MGTs                                            Ten (1, 2, 3, 4, 5, 6, 7, 8, 9, 10)
       Table 1-17 broadly defines the power rail consolidation that is possible for this use case,
       assuming the decoupling and filtering requirements on the individual supplies as outlined
       in this document are met. For further clarity, Figure 1-8 shows the possible power rail
       consolidation graphically. As shown in Table 1-17, the minimum number of power
       regulators required to power a Zynq UltraScale+ MPSoC in this use case is five for non-EV
       devices, and six for EV devices.
        Notes:
        1. Assuming rail is filtered as per UltraScale Architecture System Monitor User Guide (UG580) [Ref 25].
        2. Assuming rail is filtered as per V CC_PSDDR_PLL Supply, page 27.
                                                                                                                                                           Multiple
                                                                                              Zynq UltraScale+ MPSoC                                       Banks
                                                                                                                                                          HD/HPIO
                                                                             VCCINT                                            VCCO[0..] (HDIO)
                                    1                                                 0.9V                       1.2V-3.3V
                                                                  VREFP (Optional)                                             VCCO[0..] (HPIO)
                                                                                      1.25V                        1V-1.8V
                                                                             VCCAUX
                                    2                                                 1.8V
                                                                                                                               VMGTAVCC (GTH)
                                                                       VCCAUX_IO
                                                                                      1.8V                             0.9V                                               7
                                                                             VCCADC                                            VMGTAVCCAUX (GTH)
                                                                    filter            1.8V                             1.8V                                               6
                                                                                                                               VMGTAVTT (GTH)
                                                                                                                       1.2V                                               3
                                                                     VCCINT_VCU                                                VMGTAVCC (GTY)
                                   10                                                 0.9V                             0.9V
                                                                       VCCBRAM                                         1.8V   VMGTAVCCAUX (GTY)
                                                                                      0.9V
                                                                        VCCINT_IO                                      1.2V    VMGTAVTT (GTY)
                                                                                      0.9V
                                                                                                                               VCC_PSPLL
                                                                                                                    1.2V
                                                                                                                               VCCO_PSIO[0]
                                                                    VCC_PSINTLP
                                                                                        0.9V                    1.8V-3.3V
                                                                    VCC_PSINTFP                                                VCCO_PSIO[1]
                            External Program                                            0.9V                    1.8V-3.3V                                                 5
                                                                VCC_PSINTFP_DDR                                                VCCO_PSIO[2]
                                Memory                                                  0.9V                    1.8V-3.3V
                                                                                                                               VCCO_PSIO[3]
           VDDQ/2                       VDDQ/2                        VCC_PSAUX
                                                                                        1.8V
                                                                                                       PS       1.8V-3.3V
                                                                                                                               VCCO_PSDDR
                                                       filter
                                                                      VCC_PSADC
                                                                                        1.8V                    1.1V-1.5V                                                 4
                                                                 VCC_PSDDR_PLL                                                 VPS_MGTRAVCC
                                                       filter                           1.8V                       0.85V                                                  9
                                                                                                                    1.8V       VPS_MGTRAVTT
                                DDR                    VDD
                                                                                                                                                                 X18636-020618
Note: In Figure 1-8, the dashed lines are dependent on the user configuration.
                                Table 1-18: Number of Power Regulators Required for “Always On: Optimized for PL
                                Performance (-3 Devices)” Scenario
                                                                       Configuration                                          Number of Power Regulators(1)
                                 PS and PL DDR, 1.8V I/O and 2.5V or 3.3V I/O with no MGTs                                               Five (1, 2, 3, 4, 5)
                                 PS and PL DDR, 1.8V I/O, 2.5V I/O and 3.3V I/O with no MGTs                                            Six (1, 2, 3, 4, 5, 8)
                                 PS and PL DDR, 1.8V I/O, 2.5V or 3.3V I/O and PS MGTs                                              Seven (1, 2, 3, 4, 5, 6, 9)
                                 PS DDR, 1.8V I/O, 3.3V I/O, PS MGTs and PL MGTs                                                   Eight (1, 2, 3, 4, 5, 6, 7, 9)
                                Notes:
                                1. Add regulator 10 to each scenario if using VCCINT_VCU.
       Table 1-19 broadly defines the power rail consolidation that is possible for this use case,
       assuming the decoupling and filtering requirements on the individual supplies as outlined
       in this document are met. For further clarity, Figure 1-9 shows the possible power rail
       consolidation graphically. As shown in Table 1-19, the minimum number of power
       regulators required to power a Zynq UltraScale+ MPSoC in this use case is nine.
       Alternatively, to achieve the same isolation, a combination of power regulator and load
       switch could be used, reducing the overall number of power regulators required.
        Notes:
        1. Assuming rail is filtered as per UltraScale Architecture System Monitor User Guide (UG580) [Ref 25].
        2. Additional voltage regulator required for -2LI or -2LE devices where user wants to run VCCINT = 0.72V
                                                                                                                                                                     Multiple
                                                                                                                                                                     Banks
                                                                                                   Zynq UltraScale+ MPSoC
                                                                                                                                                                    HD/HPIO
                                                                                  VCCINT                                                 VCCO[0..] (HDIO)
                                   8                                                       0.72/0.85/0.9V                   1.2V-3.3V
                                                                       VREFP (Optional)                                                  VCCO[0..] (HPIO)
                                                                                           1.25V                              1V-1.8V
                                                                                  VCCAUX
                                   9                                                       1.8V
                                                                           VCCAUX_IO                           PL                        VMGTAVCC (GTH)
                                                                                                                                                                                13
                                                                                           1.8V                                  0.9V
                                                                                  VCCADC                     Domain                      VMGTAVCCAUX (GTH)
                                                                         filter            1.8V                                  1.8V                                           14
                                                                                                                                         VMGTAVTT (GTH)
                                                                                                                                 1.2V                                           12
                                                 Needed for
                                                                             VCCBRAM
                                                 -LI & -2LE                                0.85/0.9V                                     VMGTAVCC (GTY)
                                                                                                                                 0.9V
                                                                            VCCINT_IO
                                                                                           0.85/0.9V                                    VMGTAVCCAUX (GTY)
                                                                                                                                 1.8V
                                                   Needed for
                                                 EV devices only          VCCINT_VCU                                             1.2V    VMGTAVTT (GTY)
                                                                                           0.9V
                                                                         VCC_PSINTLP                                                     VCC_PSPLL
                                    1                                                         0.85/0.9V                        1.2V                                             3
                                                                                                                                         VCCO_PSIO[0]
                                                                            VCC_PSAUX                                      1.8V-3.3V
                                    2
                                                                                              1.8V          Low-Power                    VCCO_PSIO[1]
                                                                              VCC_PSADC                                    1.8V-3.3V                                            4
                                                                        filter                1.8V           Domain                      VCCO_PSIO[2]
                                                                                                                           1.8V-3.3V
                                                                                                               PS          1.8V-3.3V
                                                                                                                                         VCCO_PSIO[3]
                                                                         VCC_PSINTFP                                                     VCCO_PSDDR
                                    5
                                                                                              0.85/0.9V                    1.1V-1.5V                                            7
                                                                     VCC_PSINTFP_DDR
                                                                                              0.85/0.9V     Full-Power
                                                                      VCC_PSDDR_PLL                          Domain           0.85V
                                                                                                                                         VPS_MGTRAVCC
                                                                                                                                                                                10
                                    6                                                         1.8V
                                                                                                                               1.8V      VPS_MGTRAVTT
                                                                                                                                                                                11
           VDDQ/2                       VDDQ/2
                                DDR
                                                              VDD
                            External Program
                                Memory                                                                                                                                   X18638-120417
Note: In Figure 1-9, the dashed lines are dependent on the user configuration.
Table 1-20: Number of Power Rails for Typical Configurations for Application Requiring Full Power
Domain Flexibility
                                                                                                                    Devices
                                                       Configuration                                             (Speed Grades)              Number of Power Regulators
     Full power domain control, VCCINT = 0.85, PS DDR, 1.8V                                                           CG (-1, -2)
                                                                                                                                                Nine (1, 2, 3, 4, 5, 6, 7, 8, 9)
     PL I/O and 1.8/2.5/3.3V PS I/O with no MGTs                                                                      EG (-1, -2)
     Full power domain control, VCCINT = 0.9V, PS DDR, 1.8V
                                                                                                                         EG (-3)                Nine (1, 2, 3, 4, 5, 6, 7, 8, 9)
     PL I/O and 1.8/2.5/3.3V PS I/O with no MGTs
Table 1-20: Number of Power Rails for Typical Configurations for Application Requiring Full Power
Domain Flexibility (Cont’d)
                                                               Devices
                     Configuration                                           Number of Power Regulators
                                                            (Speed Grades)
Full power domain control, VCCINT = 0.9V, PS DDR, 1.8V
                                                                EV (-3)       Ten (1, 2, 3, 4, 5, 6, 7, 8, 9, 15)
PL I/O and 1.8/2.5/3.3V PS I/O with no MGTs
Full power domain control, VCCINT = 0.85V, PS DDR, 1.8V       CG (-1, -2)
                                                                              Ten (1, 2, 3, 4, 5, 6, 7, 8, 9, 16)
PL I/O and 1.8/2.5/3.3V PS I/O with no MGTs                   EG (-1, -2)
Full power domain control, VCCINT = 0.9V, PS DDR, 1.8V
                                                                EG (-3)       Ten (1, 2, 3, 4, 5, 6, 7, 8, 9, 16)
PL I/O and 1.8/2.5/3.3V PS I/O with no MGTs
Full power domain control, VCCINT = 0.9V, PS DDR, 1.8V                       Eleven (1, 2, 3, 4, 5, 6, 7, 8, 9, 15,
                                                                EV (-3)
PL I/O, 1.8/2.5/3.3V PS I/O with no MGTs                                                     16)
Full power domain control, VCCINT = 0.85V, PS DDR, 1.8V                      Eleven (1, 2, 3, 4, 5, 6, 7, 8, 9, 15,
                                                              EV (-1, -2)
PL I/O and 1.8/2.5/3.3V PS I/O with no MGTs                                                  16)
Full power domain control, VCCINT = 0.72V/
                                                             CG (-1L, -2L)   Eleven (1, 2, 3, 4, 5, 6, 7, 8, 9, 16,
programmable, PS DDR, 1.8V PL I/O and 1.8/2.5/3.3V
                                                             EG (-1L, -2L)                   17)
PS I/O with no MGTs
Full power domain control, VCCINT = 0.72V/
                                                                             Twelve (1, 2, 3, 4, 5, 6, 7, 8, 9, 15,
programmable, PS DDR, 1.8V PL I/O, DDR/2.5/3.3V PL I/O       EV (-1L, -2L)
                                                                                           16, 17)
and 1.8/2.5/3.3V PS I/O with no MGTs
Full power domain control, VCCINT = 0.85V, PS DDR, 1.8V       CG (-1, -2)    Fourteen (1, 2, 3, 4, 5, 6, 7, 8, 9,
PL I/O, 1.8/2.5/3.3V PS I/O, PL MGTs and PS MGTs              EG (-1, -2)          10, 11, 12, 13, 14)
Full power domain control, VCCINT = 0.9V, PS DDR, 1.8V                       Fourteen (1, 2, 3, 4, 5, 6, 7, 8, 9,
                                                                EG (-3)
PL I/O, 1.8/2.5/3.3V PS I/O, PL MGTs and PS MGTs                                   10, 11, 12, 13, 14)
Full power domain control, VCCINT = 0.9V, PS DDR, 1.8V                       Fifteen (1, 2, 3, 4, 5, 6, 7, 8, 9, 10,
                                                                EV (-3)
PL I/O, 1.8/2.5/3.3V PS I/O, PL MGTs and PS MGTs                                    11, 12, 13, 14, 15)
Full power domain control, VCCINT = 0.85V, PS DDR, 1.8V                      Fifteen (1, 2, 3, 4, 5, 6, 7, 8, 9, 10,
                                                              EV (-1, -2)
PL I/O, 1.8/2.5/3.3V PS I/O, PL MGTs and PS MGTs                                    11, 12, 13, 14, 15)
Full power domain control, VCCINT = 0.85V, PS DDR, 1.8V
                                                              CG (-1, -2)    Fifteen (1, 2, 3, 4, 5, 6, 7, 8, 9, 10,
PL I/O, DDR/2.5/3.3V PL I/O, 1.8/2.5/3.3V PS I/O, PL MGTs
                                                              EG (-1, -2)           11, 12, 13, 14, 16)
and PS MGTs
Full power domain control, VCCINT = 0.9V, PS DDR, 1.8V
                                                                             Fifteen (1, 2, 3, 4, 5, 6, 7, 8, 9, 10,
PL I/O, DDR/2.5/3.3V PL I/O, 1.8/2.5/3.3V PS I/O, PL MGTs       EG (-3)
                                                                                    11, 12, 13, 14, 16)
and PS MGTs
Full power domain control, VCCINT = 0.9V, PS DDR, 1.8V
                                                                              Sixteen (1, 2, 3, 4, 5, 6, 7, 8, 9,
PL I/O, DDR/2.5/3.3V PL I/O, 1.8/2.5/3.3V PS I/O, PL MGTs       EV (-3)
                                                                                 10, 11, 12, 13, 14, 15, 16)
and PS MGTs
Full power domain control, VCCINT = 0.85V, PS DDR, 1.8V
                                                                              Sixteen (1, 2, 3, 4, 5, 6, 7, 8, 9,
PL I/O, DDR/2.5/3.3V PL I/O, 1.8/2.5/3.3V PS I/O, PL MGTs     EV (-1, -2)
                                                                                 10, 11, 12, 13, 14, 15, 16)
and PS MGTs
Full power domain control, VCCINT = 0.72/programmable,
                                                             CG (-1L, -2L)    Sixteen (1, 2, 3, 4, 5, 6, 7, 8, 9,
PS DDR, 1.8V PL I/O, DDR/2.5/3.3V PL I/O, 1.8/2.5/3.3V PS
                                                             EG (-1L, -2L)       10, 11, 12, 13, 14, 15, 16)
I/O, PL MGTs and PS MGTs
Full power domain control, VCCINT = 0.72/programmable,
                                                                             Seventeen (1, 2, 3, 4, 5, 6, 7, 8, 9,
PS DDR, 1.8V PL I/O, DDR/2.5/3.3V PL I/O, 1.8/2.5/3.3V PS    EV (-1L, -2L)
                                                                              10, 11, 12, 13, 14, 15, 16, 17)
I/O, PL MGTs and PS MGTs
       Table 1-21 is a guide to the maximum possible sustained current draw on the VCCINT rail for
       Virtex UltraScale+ devices to guarantee reliable operation for ten years at a constant 100°C
       junction temperature.
Table 1-21: Maximum V CCINT Current for Virtex UltraScale+ Devices (Cont’d)
       Overview
       The Xilinx UltraScale architecture provides solutions for interfacing with the following
       memory interfaces:
       •   DDR4, for both programmable logic (PL) and the processing system (PS)
       •   DDR3/3L (PL and PS)
       •   LPDDR4 (PS)
       •   LPDDR3 (PL and PS)
       •   RLDRAM 3 (PL)
       •   QDR-IV (PL)
       •   QDR II+ (PL)
       Reference Stackup
       All electrical routing constraints are defined upon the reference stackup (Table 2-1). The
       actual stackup might be different from this reference stackup. The related constraints such
       as width and spacing should be adjusted accordingly to meet target impedance and
       crosstalk in the design guide at reference stackup. For reference, this particular stackup
       results in an inner signal layer propagation time of 169.5 ps/in.
        Notes:
        1. The material for this reference stackup is Isola High-Tg FR-4, 370HR with Er = 4.0.
                            IMPORTANT: To achieve the highest memory interface performance, all the high-speed signals are
                            recommended to be routed on the upper signal layers such as L3 and L5, as shown in Figure 2-1, to
                            minimize device pin field via crosstalk impact. Deeper signal layers could be used but signal routing
                            spacing needs to take trade-offs into account with system-level signal integrity simulations.
                                                                                                                      UG583_c2_11_073014
                                                                                                                       G
                            IMPORTANT: Routing high-speed signals on lower signal layers comes with more board via coupling
                            jitter depending on board thickness. Signal spacing in the same layer routing needs to be compromised
                            to mitigate deep boards via crosstalk impact.
UG583_c2_12_073014
                            To determine system timing margins in this design following the Xilinx memory simulation
                            guidelines, system designers should run system-level memory channel simulations to
                            confirm actual timing margin in customer-specific layout practices.
–5 ps +5 ps
                           Table 2-3 shows an example Address group constraint specification. Figure 2-5
                           illustrates an interpretation of the constraint. The difference in delay between the
                           longest address signal in the bus and the shortest address in the bus can be no greater
                           than 8 ps.
8 ps
47 mil
                                                                 Shortest          Longest
                                                                  CAC               CAC
                                                                            ug583_c2_105_121115
                             5. The CK to DQS specification for DDR3 and DDR4 component interfaces encompasses a
                                wide range, as shown in Table 2-4. The wide range is to ensure that proper write leveling
                                can take place at all memory devices, from the first in the chain to the last.
                                 The UltraScale device memory controller can internally delay the DQS line to account for
                                 negative skew, which helps because the CK line encounters more capacitive load than
                                 each individual DQS pair because the CK lines touch each memory device in the chain.
                                 This slows the CK line relative to each DQS, which only touches one memory device. The
                                 specification routes the CK and DQS lines where the skew between CK to DQS is no less
                                 than –149 ps from the UltraScale device to the first memory device in the chain and no
                                 more than 1796 ps to the furthest memory device in the chain. This is illustrated in
                                 Figure 2-6. As long as the first memory device and the last memory device in the chain
                                 are bounded by this constraint, all memory devices can be write leveled.
                                 For example, if the DQS delay between the UltraScale device and the first memory
                                 device is 200 ps, the clock delay from the UltraScale device to the first memory device
                                 should be at least 51 ps (200 ps – 149 ps). If the DQS delay from the UltraScale device to
                                 the furthest memory device is 700 ps, the clock delay from the UltraScale device to the
                                 last memory device should be less than 2496 ps (700 ps + 1796 ps).
X-Ref Target - Figure 2-6
CK DQS DQS CK
ug583_c2_107_031616
                             TIP: DIMM guidelines have a much narrower CK to DQS specification because the PCB is only routed
                             from the UltraScale device to the first memory device in the DIMM. The routing from the first memory
                             device to the last memory device is managed by the DIMM.
       6. Skew constraints assume the fastest interface speeds. For slower speeds, certain skews
          can be relaxed. Refer to Appendix A, Memory Derating Tables for derating tables for the
          various memory interfaces.
       7. Skew and length constraints assume a propagation time of 169.5 ps/in based on the
          stackup shown in Table 2-1. Maximum trace lengths can be relaxed or tightened
          depending on the actual propagation time of the board. To convert, multiply the
          specified trace length by 169.5 ps, then divide by the actual propagation time of the
          board. See Adjusting for Different Stack-Ups.
       8. Trace widths and spacing are based on the stackup shown in Table 2-1. If another
          stackup scheme is used, the widths and spacing can be modified to meet the impedance
          targets. See Adjusting for Different Stack-Ups.
       9. DQ and DQS signals in the same byte group should be routed in the same layer from
          UltraScale device to DRAM/DIMM, except in the breakout areas. Include the data mask
          (DM) in the byte group as applicable.
       10. Do not change layers when routing from one DIMM to the next, if applicable. In
           addition, for DIMM routing, it is recommended to route data byte groups on the highest
           signal layers (closest to the DIMM connector) as much as possible, especially for byte
           groups located near the center of the DIMM.
       11. For fly-by routing, address, command, and control signals can be routed on different
           layers, though it is recommended to use as few as possible. Do not route any individual
           signal on more than two layers to minimize inductive loops that can lead to crosstalk
           issues. Any signal layer switching via needs to have one ground via within a 50 mil
           perimeter range.
       12. UltraScale device and memory drive strengths are assumed to be 40Ω . UltraScale device
           DCI and memory ODT are assumed to be 40Ω .
       13. When utilizing the internal V REF, Xilinx allows the VREF pins to float in the banks that use
           the internal V REF.
           Note: When internal VREF is used, this pin cannot be used as an I/O.
       14. If the system clock is connected to a bank that is also used for memory, terminate as
           shown for LVDS in Figure 2-7 with the appropriate pull-up voltage. This termination
           circuit is necessary because of the different I/O standard of the memory bank (HSTL,
           SSTL, or POD).
VCC1V2
                                                           C650
                                                           0.1 µF          1   R594       1 R595
                                                           25V                 1.00 KΩ      1.00 KΩ
                                                           XSR                 1/16W        1/16W
                                                                           2   1%         2 1%
                                              SYSCLK_C_P   1 2                                             SYSCLK_P
                                                                                                      1   R692
                            Clock Generator                                                               100 Ω
                                                                                                          1/10W
                                                                                                                         UltraScale Device
                                                                                                      2   1%
                                              SYSCLK_C_N   1   2                                            SYSCLK_N
                                                                           1   R596       1 R597
                                                           C651
                                                           0.1 µF              1.00 KΩ      1.00 KΩ
                                                           25V                 1/16W        1/16W
                                                                           2   1%         2 1%
                                                           XSR
GND UG583_c2_57_121315
       15. Signal lines must be routed over a solid reference plane. Avoid routing over voids
           (Figure 2-8).
       X-Ref Target - Figure 2-8
UG583_c2_13_050614
UG583_c2_14_050614
       17. Keep the routing at least 30 mils away from the reference plane and void edges with the
           exception of breakout regions (Figure 2-8).
       18. In the breakout region, route signal lines in the middle of the via void aperture. Avoid
           routing at the edge of via voids (Figure 2-10).
       X-Ref Target - Figure 2-10
UG583_c2_15_051915
       19. Use chevron-style routing to allow for ground stitch vias. Figure 2-11 shows
           recommended routing for fly-by configurations, while Figure 2-12 shows recommended
           routing to accommodate ground stitch vias in a more congested clamshell
           configuration.
       IMPORTANT: Crosstalk issues leading to data errors can occur with lack of proper ground stitching,
       especially in areas where there are fewer ground pins, such as near address pins of memory devices.
UG583_c2_16_050614
UG583_c2_59_090816
                                    Figure 2-12:   Example of Ground Stitching (Clamshell) Red: Power, Green: Ground
                           Figure 2-13 shows simulated eye diagrams for a DDR4 command/address/control bit
                           with and without ground stitching vias. The simulation on the left shows an eye height
                           of 180 mV with ground stitch vias, while the simulation on the right shows an eye height
                           of only 99 mV when not utilizing ground stitch vias.
       X-Ref Target - Figure 2-13
X20169-081518
       20. Add ground vias as much as possible around the edges and inside the device (FPGA,
           MPSoC, memory component, DIMM) to make a better ground return path for signals
           and power, especially corners. Corner or edge balls are generally less populated as
           grounds.
       21. For address/command/control V TT termination, every four termination resistors should
           be accompanied by one 0.1 µF capacitor, physically interleaving among resistors, as
           shown in Figure 2-14. Refer to the memory vendor’s data sheet for specifications
           regarding noise limits on the address/command/control VTT lines.
       X-Ref Target - Figure 2-14
UG583_c2_17_050614
UG583_c2_60_090816
       23. To optimize the signal routing, the recommendation for one component placement is
           shown in Figure 2-16.
       X-Ref Target - Figure 2-16
VAEDQ
VA55Q
VDDQ
                                                                                                                                                            VD0Q
                                                                                                        ODT
V550
V550
                                                                                                                                                                                     V000
                                                                                                               NIC
                                                             VDD
                                                                          VDD
                                                                    V55
V55
                                                                                                                                              V55
                                                     V55
                                                                                                NC
                                                     RESET
DQ11
                                                                                                                                                                                     DQ13
                                                                                                                                                     DQQ
                                                                                                                               DQ6
DQ2
                                                                                                                                              V55Q
                                                                                                CS#
                                                                                       BA0
                                                                                                                       V000
                                                                                                        VDD
                                                                                                                                                                        VDD
                                                                                                               V55
                                                             A7
A5
A3
                                                                                                                               LDQ5#
                                                                                                        CAS#
RAS#
LDQ5
                                                                                                                                                                                     DQ15
                                                                                                                                                     UDM
                                                                                                WE#
DQ4
DQ0
                                                                                                                                                            DQ9
                                                                                       BA2
                                                     A13
                                                                                                                                                                        V55
                                                             A9
A1
                                                                          A0
                                                                   cmd/addr/ctrl                                                                     dq
                                                                          BA1 A12BC#
                                                                                                                                                                        DQ14 UDQS#
                                                                                                A10AP
DQ10 UDQS
                                                                                                                                                                                     DQ12
                                                                                                                                       VDDQ
                                                                                                                                              LDM
                                                                                                                       DQ7
                                                                                                                                                     DQ8
                                                                                                        CK#
                                                     A14
A11
                                                                                                                               VDD
                                                                                       NC
                                                                                                               CK
                                                                    A1
VREFCA
DQ5
V55Q
V55Q
V55Q
                                                                                                                                                                                     V00Q
                                                                                                        VDD
V55
                                                                                                                               V55
                                                                                                ZQ
                                                     A8
A6
A4
CKE
                                                                                                                                              DQ0
                                                                                                                       V00Q
                                                                                                                                       V00Q
                                                                                                                               V55Q
                                                                                                                                                                        V55Q
                                                                                                                                                            V000
                                                                                                                                                                                     V555
                                                             V00
V00
                                                                                                                                                     V00
                                                     V55
V55
V55
NC
NC
UltraScale Device
UG583_c2_18_121315
                                             dq
                                                                                                              dq
                                                                                                                                                                                   dq
                                                                                                                                                                                                                                                    dq
                                                                                                                                                                                                                                                                                                                     dq
                      V55    V55Q    DQ0                      LDM      V55Q    DQ0     V55    V55Q    DQ0                      LDM      V55Q    DQ0         V55    V55Q    DQ0                      LDM      V55Q    DQ0     V55    V55Q    DQ0                      LDM      V55Q    DQ0     V55    V55Q    DQ0                       LDM      V55Q    DQ0
                     VDDQ    DQ2     LDQ5                     VDDQ     V55Q    V00Q   VDDQ    DQ2     LDQ5                     VDDQ     V55Q    V00Q       VDDQ    DQ2     LDQ5                     VDDQ     V55Q    V00Q   VDDQ    DQ2     LDQ5                     VDDQ     V55Q    V00Q   VDDQ    DQ2     LDQ5                      VDDQ     V55Q    V00Q
                     VA55Q   DQ6     LDQ5#                    VDD      V55     V55Q   VA55Q   DQ6     LDQ5#                    VDD      V55     V55Q       VA55Q   DQ6     LDQ5#                    VDD      V55     V55Q   VA55Q   DQ6     LDQ5#                    VDD      V55     V55Q   VA55Q   DQ6     LDQ5#                      VDD     V55     V55Q
                     VAEDQ    V000   DQ4                      DQ7     DQ5      V00Q   VAEDQ    V000   DQ4                      DQ7     DQ5      V00Q       VAEDQ    V000   DQ4                      DQ7     DQ5      V00Q   VAEDQ    V000   DQ4                      DQ7     DQ5      V00Q   VAEDQ    V000   DQ4                       DQ7     DQ5      V00Q
                     NIC      V55    RAS#                     CK       V55     NC     NIC      V55    RAS#                     CK       V55     NC         NIC      V55    RAS#                     CK       V55     NC     NIC      V55    RAS#                     CK       V55     NC     NIC      V55    RAS#                       CK      V55     NC
                     ODT      VDD    CAS#                     CK#      VDD     CKE    ODT      VDD    CAS#                     CK#      VDD     CKE        ODT      VDD    CAS#                     CK#      VDD     CKE    ODT      VDD    CAS#                     CK#      VDD     CKE    ODT      VDD    CAS#                      CK#      VDD     CKE
                      NC      CS#    WE#                     A10AP     ZQ      NC      NC      CS#    WE#                     A10AP     ZQ      NC          NC      CS#    WE#                     A10AP     ZQ      NC      NC      CS#    WE#                     A10AP     ZQ      NC      NC      CS#    WE#                      A10AP     ZQ      NC
                      V55     BA0    BA2                      NC      VREFCA   V55     V55     BA0    BA2                      NC      VREFCA   V55         V55     BA0    BA2                      NC      VREFCA   V55     V55     BA0    BA2                      NC      VREFCA   V55     V55     BA0    BA2                        NC     VREFCA   V55
                     VDD      A3      A0                     A12BC#    BA1     V00    VDD      A3      A0                     A12BC#    BA1     V00        VDD      A3      A0                     A12BC#    BA1     V00    VDD      A3      A0                     A12BC#    BA1     V00    VDD      A3      A0                      A12BC#    BA1     V00
                      V55     A5      A1                      A1       A4      V55     V55     A5      A1                      A1       A4      V55         V55     A5      A1                      A1       A4      V55     V55     A5      A1                      A1       A4      V55     V55     A5      A1                        A1      A4      V55
                     VDD      A7      A9                      A11      A6      V00    VDD      A7      A9                      A11      A6      V00        VDD      A7      A9                      A11      A6      V00    VDD      A7      A9                      A11      A6      V00    VDD      A7      A9                       A11      A6      V00
                      V55    RESET    A13                     A14      A8      V55     V55    RESET    A13                     A14      A8      V55         V55    RESET    A13                     A14      A8      V55     V55    RESET    A13                     A14      A8      V55     V55    RESET    A13                      A14      A8      V55
                                             cmd/addr/ctrl
                                                                                                              cmd/addr/ctrl
                                                                                                                                                                                   cmd/addr/ctrl
                                                                                                                                                                                                                                                    cmd/addr/ctrl
                                                                                                                                                                                                                                                                                                                     cmd/addr/ctrl
                                                                                                                                                                                                                                                                                                                                     X-Ref Target - Figure 2-17
                                                             For five components, the recommendation is shown in Figure 2-17.
Chapter 2: PCB Guidelines for Memory Interfaces
                                                                    Chapter 2: PCB Guidelines for Memory Interfaces
       the signal propagation delay and signal loss increase, and vice-versa. A typical D K found on
       PCBs range from 3.4 to 4.6. The propagation delay in a given dielectric material is constant
       and is not affected by any other board parameters such as layer height, conductor width, or
       conductor spacing. Propagation delay is affected by frequency, but the effect is minimal
       with regards to typical memory speeds. Signal loss is also affected by frequency, with the
       loss increasing with increasing frequency.
       Equation 2-1 shows the calculation for propagation delay (TPD ), with D K as the dielectric
       constant, and c as the speed of light in free space (2.998 x 10 8 m/s or 1.180 x 10 10 in/s).
                                                           DK
                                                 T PD = ---------                                      Equation 2-1
                                                            c
       The associations in Table 2-5 show the effect of the dielectric constant (DK) on the
       impedance (Z 0), propagation delay (TPD), and signal loss (α ).
       Trace Width
       As the trace width (W) increases, the impedance decreases, while the signal propagation
       delay remains unchanged, and vice-versa. Any adjustments in width should include
       adjustments in spacing (S) to maintain immunity to crosstalk effects. Spacing factors are
       roughly 1.0X to 3X depending on the particular type of memory and signal. The associations
       in Table 2-6 show the effect of width on impedance, spacing, and propagation delay.
       Table 2-6:   Relationship of Trace Width to Impedance, Required Spacing, and Propagation
       Delay
        W↑          Z0 ↓     S↑            TPD (n o ch ange )
        W↓          Z0 ↑     S↓            TPD (n o ch ange )
       Layer Height
       As the layer height (H) is increased, the impedance increases, while the signal propagation
       delay remains unchanged, and vice-versa. When reducing or increasing layer height,
       consider that layer heights that are too low can be more expensive to reliably manufacture,
       because the PCB fabricator must avoid plane shorts. Layer heights that are too high can
       lead to aspect ratio violations. The associations in Table 2-6 show the effect of layer height
       on impedance and propagation delay.
        Note: For applications that utilize the Zynq UltraScale+ device Video Codec Unit (VCU) and DDR4
        memory in the PL, refer to Xilinx Answer 71209 for important design considerations.
       IMPORTANT: All routing guidelines in this section must be followed to achieve the maximum data rates
       specified for the DDR4 SDRAM interface for typical system designs. Customers could have unique or
       specific designs with particular violations of some rules. In these scenarios, design or routing trade-offs
       have to be taken in other routing parameters to mitigate the risk. System-level channel signal integrity
       simulations are required to evaluate such trade-offs. It is important to read the General Memory
       Routing Guidelines section before continuing with this section.
                             Notes:
                             1. Actual signal list might vary based on configuration.
FPGA DRAM 1 DRAM 2 DRAM 3 DRAM 4 DRAM 5 DRAM 6 DRAM 7 DRAM 8 DRAM 9
UG583_c2_61_090816
                             Clamshell topology (Figure 2-19) requires more intricate routing, but is optimal for designs
                             where board space is at a premium.
                             IMPORTANT: Clamshell is a supported DDR4 SDRAM topology in the Memory Interface Generator
                             (MIG) and is selectable for Programmable Logic banks only. The PS in the Zynq UltraScale+ MPSoC
                             does not have a selectable clamshell configuration option. However, the PS can be configured as
                             clamshell if set up as a dual-rank configuration with the first rank on the top layer, and the second rank
                             mirrored on the bottom layer. When utilizing this topology within the Vivado tools, refer to the
                             Clamshell Topology section in UltraScale Architecture-Based FPGAs Memory IP Product Guide (PG150)
                             [Ref 13] for additional information.
       can be used to change the function of certain pins on a memory device to correspond to
       the pin directly above or below it. One via can be used for the signal, along with a short stub
       to the landing pad for each device. Address mirroring is defined in JEDEC specification
       JESD21-C. Twelve command/address/control pins can be mirrored for DDR4 SDRAM,
       according to Table 2-10.
        Notes:
        1. BG0 and BG1 can only be mirrored when pin BG1 is present on the
           memory device.
                                               Memory
                                               Controller
                                                                       MAIN
                                                            via                         via            via            via            via            via              via
                                                    P0            L0    L1      L2             L3             L3             L3             L3            L2   L4
Figure 2-20: Address, Command, and Control Fly-by Termination for DDR4 SDRAM
       reset_n
       Figure 2-21 shows the termination for reset_n. The 4.7 kΩ to ground is to keep reset_n Low
       during FPGA power-up and reconfiguration. If self-refresh is required during FPGA
       power-down or reconfiguration, circuitry needs to be added to ensure that reset_n stays
       High during that time.
       X-Ref Target - Figure 2-21
                                                                             via
                                                           L4
                                                          STUB
                                                                                    R = 4.7 kΩ
UG583_c2_58_111115
       alert_n
       The alert_n signal should be wired as a long fly-by connection with the FPGA at one end.
       Connections are from the alert_n pin of the SDRAMs to the alert_n pin of the FPGA. There
       is a pull-up resistor to VCCO at the end farthest electrically from the FPGA. Figure 2-22
       shows the alert_n termination.
       Note: The PL memory interface does not use the alert_n signal. Nonetheless, alert_n from all DRAM
       devices should be tied together and terminated to VCCO.
       X-Ref Target - Figure 2-22
VCCO
                                    MPSoC
                                                                                                                      50Ω
alert_n
                                                                                                 ...
                                                                   alert_n
alert_n
alert_n
Table 2-11: DDR4 SDRAM Fly-by Impedance, Length, and Spacing Guidelines for Address, Command,
and Control Signals
                                                                   L0            L1                   L2                         L4
                                 Parameter                                                          (DRAM           L3                       Units
                                                           (Device Breakout) (Main PCB)            Breakout)                  (To RTT)
 Notes:
 1. See item 2 in General Memory Routing Guidelines.
 2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 12.0.
                                For DDR4 SDRAM clamshell topology, an alternating fly-by topology is recommended for
                                control/address/command signals. The alternating layer routing properly balances the
                                signal loads at each memory device. As depicted in Figure 2-23 with the FPGA located at
                                the top layer, the inner layer routing to top layer devices 1, 3, 5, 7, and 9 is closer to the top
                                layer, while the inner layer routing to bottom layer devices 2, 4, 6, and 8 is closer to the
                                bottom layer.
X-Ref Target - Figure 2-23
Note: The end-termination resistor can be located on either the top or bottom layer.
                                DDR4 SDRAM clamshell topology utilizes two individual chip select (CS) signals, one for the
                                top layer DRAMs, and one for the bottom layer DRAMs. Those signals should be routed as
                                in the standard fly-by topology as defined in Figure 2-20 and Table 2-11.
                                Table 2-12 shows the DDR4 SDRAM clamshell impedance, length, and spacing guidelines
                                for address, command, and control signals. Note the extra length of the L2 segment.
Table 2-12: DDR4 SDRAM Clamshell Impedance, Length, Width, and Spacing Guidelines for
Address/Command/Control Signals
                                      L0 FPGA
   Parameter                                                     L1                     L2                         L3                        L4                     L5            L6 VTT Stub Units
                                      Breakout
Layer                                  Upper                Upper                      Lower                  Upper                      Top                  Bottom                 Bottom
(recommended)                          inner                inner                      inner                  inner
Impedance Z0                              50                     50                     50                         50                        50                     50                   39       Ω
Length                                0.0~1.5 (1)           0.0~4.0                   L3+0.2            0.45~0.85                      For mirrored case,                              ≤ 0.95    inch
                                                                                                                                        L4 = L5; for non-
                                                                                                                                     mirrored case, make as
                                                                                                                                        short as possible
Width                                    4.0                     4.0                    4.0                        4.0                       4.0                    4.0                  6.0     mil
Spacing within                           4.0                 8.0 (1)                    8.0                        8.0                       8.0                    8.0                  8.0     mil
group
Spacing to                               8.0                     20                     20                         20                        20                     20                   20      mil
clocks
Spacing to                               8.0                     30                     30                         30                        30                     30                   30      mil
other groups
Notes:
1. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 12.0.
         IMPORTANT: Add as many ground vias as possible to help avoid crosstalk issues. See Item 20 in
         General Memory Routing Guidelines.
                                                                                                                                                                              VCCO
                                                                            DRAM #1           DRAM #2        DRAM #3          DRAM #4        DRAM #5
                                                                                                                                                                                 C = 0.01 µF
                                         Memory
                                         Controller
                                                                 MAIN           via           via            via              via            via                  RTT = 36Ω          RTT = 36Ω
                                                      via                                                                                                             via
                                               P0           L0     L1      L2            L3             L3               L3             L3            L2     L4
                                                                 MAIN
                                                      via                        via           via            via              via            via                     via
                                               P0           L0     L1      L2            L3             L3               L3             L3            L2     L4
                             Table 2-13 shows the DDR4 SDRAM impedance, length, and spacing guidelines for clock
                             signals.
Table 2-13:                                DDR4 SDRAM Impedance, Length, and Spacing Guidelines for Clock Signals
                                                                          L0
                             Parameter                                  (Device                   L1           L2                                           L3                    L4              Units
                                                                                              (Main PCB) (DRAM Breakout)                                                       (To RTT)
                                                                       Breakout)
     Trace type                                                         Stripline                   Stripline                Stripline                   Stripline             Stripline               –
     Clock differential                                                 86±10%                      76±10%                   86±10%                      90±10%                76±10%                Ω
     impedance ZDIFF
     Trace width/space/width                                           4.0/4.0/4.0                 6.0/6.0/6.0              4.0/4.0/4.0                 4.0/5.0/4.0          6.0/6.0/6.0            mil
     Trace length                                                 0.0~1.5 (1)(2)                    0.0~4.0                  0.0~0.1                    0.35~0.75               0~1.0            inches
     Spacing in address,                                                      8.0                     20 (2)                     8.0                        20                      20              mil
     command, and control
     signals (minimum)
     Spacing to other group                                                   8.0                      30                        8.0                        30                      30              mil
     signals (minimum)
     Maximum PCB via count                                                                                                   7                                                                         –
     per signal
 Notes:
 1. See item 2 in General Memory Routing Guidelines.
 2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 30.
                             For DDR4 SDRAM clamshell topology, an alternating fly-by topology is recommended for
                             clock signals. The alternating layer routing properly balances the signal loads at each
                             memory device. As depicted in Table 2-27 with the FPGA located at the top layer, the inner
                             layer routing to top layer devices 1, 3, 5, 7, and 9 is closer to the top layer, while the inner
                             layer routing to bottom layer devices 2, 4, 6, and 8 is closer to the bottom layer.
X-Ref Target - Figure 2-25
                               Memory
                              Controller                       DRAM #1               DRAM #3                   DRAM #5           DRAM #7                 DRAM #9
                                  Length
                                                Length
                                   PKG
                                                 PKG
                                           P0
                             P0
L4
L4
L4
                                                                                                                                             L4
                                                                 L4
L4
L4
L4
                                                                                                                                                                  L4
                                                                                                                                                            L4
                                                     L0   L1                                          L3                                           L3
                              via          via       L0   L1                                          L3                                           L3
                                                                                                                                                                                    VCCO
                                                                        via
via
via
                                                                                                                                             via
                                                                 via
via
via
via
                                                                                                                                                                  via
                                                                                                                                                            via
                                                                                L2                                          L3                                                           C = 0.01 µF
                                                                                L2                                          L3
                                                                                                                                                                  L6
                                                                                                                                                            L6
                                                                        L5
L5
L5
                                                                                                                                             L5
                                                                 L5
L5
L5
L5
Note: The end-termination components can be located on either the top or bottom layer.
         Table 2-14 shows the DDR4 SDRAM clamshell impedance, length, and spacing guidelines
         for clock signals. Note the extra length of the L2 segment.
Table 2-14: DDR4 SDRAM Clamshell Impedance, Length, Width, and Spacing Guidelines for
Clock Signals
                                      L0 FPGA
   Parameter                                             L1             L2                    L3           L4               L5          L6 VTT Stub Units
                                      Breakout
Layer                                   Upper          Upper           Lower              Upper            Top           Bottom          Bottom
(recommended)                           inner          inner           inner              inner
Differential                              86             76             90                    90           86               86              76         Ω
impedance Z0
Length                                0.0~1.5 (1)     0.0~4.0         L3+0.2           0.45~0.85          For mirrored case,               ≤ 1.0      inch
                                                                                                           L4 = L5; for non-
                                                                                                        mirrored case, make as
                                                                                                           short as possible
Width/space/                          4.0/4.0/4.0 6.0/6.0/6.0 4.0/5.0/4.0 4.0/5.0/4.0 4.0/4.0/4.0                    4.0/4.0/4.0        6.0/6.0/6.0   mil
width
Spacing to                               8.0            20 (1)          20                    20           20               20              20        mil
addr/cmd/ctrl
Spacing to                               8.0             30             30                    30           30               30              30        mil
other groups
Notes:
1. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 30.
         IMPORTANT: Add as many ground vias as possible to help avoid crosstalk issues. See item 20 in
         General Memory Routing Guidelines.
                                                         Memory
                                                         Controller
                                                                                                                            DRAM
                                                                                               MAIN
                                                                             via                                   via
                                                                 P0                  L0            L1      L2
                                                          PKG Length               Breakout             Breakout
                                                                                                                   UG583_c2_25_073014
         Table 2-15 shows the DDR4 SDRAM impedance, length, and spacing guidelines for data
         signals.
Table 2-15:     DDR4 SDRAM Impedance, Length, and Spacing Guidelines for Data Signals
                                                         L0                    L1                   L2
                  Parameter                      (Device Breakout)         (Main PCB)         (DRAM Breakout)      Units
Notes:
1. See item 2 in General Memory Routing Guidelines.
2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 12.0.
The skew constraints are listed in Table 2-17 and Table 2-18.
        Notes:
        1. The data group includes dq and dm_n/dbi_n.
        2. Delays are based on 169.5 ps/in. See item 8 in General Memory Routing Guidelines.
        3. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       Table 2-18:     DDR4 SDRAM Address, Command, and Control Skew Constraints
                     Signal                  Signal Segment           Skew Constraints (ps) Skew Constraints (mil)
        address/command/                  UltraScale device to
                                                                                ±8 ps                      ±47
        control(1) to CK                  each memory device
                                          UltraScale device to                    2                         12
        ck_p and ck_n
                                          each memory device
        Notes:
        1. The signal reset_n is not required to meet the skew constraints in this table.
        2. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
       constraints. When minimum and maximum values are available for the package delay, use the
       midrange between the minimum and maximum values. Memory device package flight times do not
       need to be factored in because their variances have been accounted for in these guidelines.
       IMPORTANT: All routing guidelines in this section must be followed to achieve the maximum data rates
       specified for the DDR3/3L SDRAM interface for typical system designs. Customers could have unique or
       specific designs with particular violations of some rules. In these scenarios, design or routing trade-offs
       have to be taken in other routing parameters to mitigate the risk. System-level channel signal integrity
       simulations are required to evaluate such trade-offs. It is important to read the General Memory
       Routing Guidelines section before continuing with this section.
        Notes:
        1. Actual signal list might vary based on configuration.
                                         Memory
                                         Controller
                                                                  MAIN
                                                       via                         via            via            via            via            via               via
                                              P0             L0    L1      L2             L3             L3             L3             L3             L2   L4
       reset_n
       Figure 2-28 shows the termination for reset_n. The 4.7 kΩ resistor to ground is to keep each
       line Low during FPGA power-up and reconfiguration. If self-refresh is required during FPGA
       power-down or reconfiguration, circuitry needs to be added to ensure that reset_n stays
       High during that time.
                                                                         via
                                                             L4
                                                           STUB
                                                                                R = 4.7 kΩ
UG583_c2_58_111115
Table 2-20: DDR3 SDRAM Impedance, Length, and Spacing Guidelines for Address, Command, and
Control Signals
                                              L0            L1           L2                                        L4
             Parameter                (Device Breakout) (Main PCB) (DRAM Breakout)                     L3       (To RTT)    Units
Notes:
1. See item 2 in General Memory Routing Guidelines.
2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 12.0.
                                                                                                                                                                             VCCO
                                                                                DRAM #1         DRAM #2         DRAM #3        DRAM #4        DRAM #5
                                                                                                                                                                                C = 0.1 µF
                                           Memory
                                           Controller
                                                                     MAIN           via          via            via            via            via                RTT = 30Ω       RTT = 30Ω
                                                         via
                                                 P0            L0      L1      L2          L3              L3             L3             L3            L2     L4
                                                                     MAIN
                                                         via                        via          via             via            via            via                    via
                                                 P0            L0      L1      L2          L3              L3             L3             L3            L2     L4
Table 2-21: DDR3 SDRAM Impedance, Length, and Spacing Guidelines for Clock Signals
         Parameter                                              L0            L1           L2                                                               L3                   L4          Units
                                                        (Device Breakout) (Main PCB) (DRAM Breakout)                                                                          (To RTT)
Trace type                                                          Stripline                   Stripline                 Stripline                    Stripline              Stripline        –
Clock differential
                                                                    86±10%                      76±10%                    86±10%                       90±10%                 76±10%           Ω
impedance ZDIFF
Trace width/space/width                                        4.0/4.0/4.0                 6.0/6.0/6.0                 4.0/4.0/4.0                    4.0/5.0/4.0            6.0/6.0/6.0      mil
Trace length                                                   0.0~1.5(1)(2)                    0.0~4.0                   0.0~0.1                     0.35~0.75                0~1.0         inches
Spacing in address,
command, and control                                                  8.0                         20 (2)                       8.0                          20                   20           mil
signals (minimum)
Spacing to other group
                                                                      8.0                          30                           8                           30                   30           mil
signals (minimum)
Maximum PCB via count
                                                                                                                          7                                                                    –
per signal
Notes:
1. See item 2 in General Memory Routing Guidelines.
2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 30.
                                                         Memory
                                                         Controller
                                                                                                                          DRAM
                                                                                             MAIN
                                                                           via                                    via
                                                               P0                  L0         L1       L2
                                                          PKG Length             Breakout            Breakout
                                                                                                                  UG583_c2_22_073014
Table 2-22:                           DDR3 SDRAM Impedance, Length, and Spacing Guidelines for Data Signals
                                                                              L0                        L1                    L2
                                        Parameter                     (Device Breakout)             (Main PCB)          (DRAM Breakout)        Units
Notes:
1. See item 2 in General Memory Routing Guidelines.
2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 12.0.
The skew constraints are shown in Table 2-24 and Table 2-25.
         Notes:
         1. The data group includes dq and dm.
         2. Delays are based on 169.5 ps/in. See item 8 in General Memory Routing Guidelines.
         3. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
The address, command, and control clock group skew constraints are listed in Table 2-25.
Table 2-25:    DDR3 SDRAM Address, Command, and Control Skew Constraints
                  Signal                        Signal Segment           Skew Constraints (ps) Skew Constraints (mil)
                                             UltraScale device to
Address/command/control (1) to CK                                                   ±8 ps                        ±47
                                             each memory device
                                             UltraScale device to
ck_p and ck_n                                                                        2                           12
                                             each memory device
Notes:
1. The signal reset_n is not required to meet the skew constraints in this table.
2. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
         IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
         constraints. When minimum and maximum values are available for the package delay, use the
         midrange between the minimum and maximum values. Memory device package flight times do not
         need to be factored in because their variances have been accounted for in these guidelines.
IMPORTANT: The Processing System (PS) does not support multiple DIMMs.
                                                    Memory
                                                    Controller
                                                                                       MAIN
                                                                     via                              UDIMM
                                                          P0                 L0        L1
                                                     PKG Length            Breakout
                                                                                       MAIN
                                                                     via
                                                          P0                 L0        L1
                                                     PKG Length            Breakout
                                                                                               UG583_c2_43_102414
       Table 2-26:                   Impedance, Length, and Spacing Guidelines for DIMM Clock Signals
                                                                           L0                         L1
                                     Parameter                                                                             Units
                                                                   (Device Breakout)              (Main PCB)
            Trace type                                                     Stripline                 Stripline               –
            Differential impedance Z 0                                     86±10%                    66±10%                  Ω
            Trace width/space/width                                    4.0/4.0/4.0                 8.0/8.0/8.0              mil
            Trace length                                              0.0~1.5 (1)(2)                 0.0~4.0               inches
            Spacing to address, command, and                                  8.0                       20 (2)              mil
            control signals (minimum)
            Spacing to other group signals                                    8.0                        30                 mil
            (minimum)
Table 2-26: Impedance, Length, and Spacing Guidelines for DIMM Clock Signals (Cont’d)
                                      Parameter                                  L0                                  L1                        Units
                                                                         (Device Breakout)                       (Main PCB)
            Maximum PCB via count                                                                     2                                          –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
        2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 30.
                                                          Memory                                                   UDIMM
                                                          Controller
                                                                                                     MAIN
                                                                P0                    L0             L1
                                                           PKG Length         via   Breakout
UG583_c2_44_102314
UDIMM #1 UDIMM #2
                                             Memory
                                             Controller
                                                                                     MAIN
                                                   P0                    L0           L1                           L2
                                              PKG Length       via     Breakout
UG583_c2_45_102314
Table 2-27: Impedance, Length, and Spacing Guidelines for One-Slot DIMM Address, Command,
and Control Signals (Cont’d)
                                                                         L0
                        Parameter                                                            L1 (Main PCB)         Units
                                                                 (Device Breakout)
Trace length                                                         0.0~1.5(1)(2)               0.0~4.0           inches
Spacing in address, command, and control signals                          4.0                      12 (2)           mil
(minimum)
Spacing to clock signals (minimum)                                        8.0                       20              mil
Spacing to other group signals (minimum)                                  8.0                       30              mil
Maximum PCB via count                                                                  2                             –
Notes:
1. See item 2 in General Memory Routing Guidelines.
2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 16.0.
Table 2-28: Impedance, Length, and Spacing Guidelines for Two-Slot DIMM Address, Command,
and Control Signals
                                                   L0                                             L2
              Parameter                                               L1 (Main PCB)                                Units
                                           (Device Breakout)                                (DIMM to DIMM)
Trace type                                       Stripline                Stripline               Stripline          –
Single-ended impedance Z0                        50±10%             34±10% addr/cmd         34±10% addr/cmd          Ω
                                                                       39±10% ctrl             39±10% ctrl
Trace width                                         4.0                6.9 addr/cmd            6.9 addr/cmd          mil
                                                                          6.0 ctrl                6.0 ctrl
Trace length                                   0.0~1.5(1)(2)              0.0~4.0                   <0.5           inches
Spacing in address, command, and                    4.0                  12.0 ctrl,              12.0 ctrl,          mil
control signals (minimum)                                            13.8 addr/cmd (2)        13.8 addr/cmd
Spacing to clock signals                            8.0                      20                      8.0             mil
(minimum)
Spacing to other group signals                      8.0                      30                      30              mil
(minimum)
Maximum PCB via count                                                  Addr/cmd: 3                                   –
                                                                         Ctrl: 2
Notes:
1. See item 2 in General Memory Routing Guidelines.
2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 18.0 ctrl, and 20.7 addr/cmd.
UDIMM #1 UDIMM #2
                                            Memory
                                            Controller
                                                                                 MAIN
                                                             via
                                                  P0                 L0            L1                    L2
                                             PKG Length            Breakout
                                                                                                      DIMM to
                                                                                                       DIMM
                                                                                 MAIN
                                                             via
                                                  P0                 L0            L1                    L2
                                             PKG Length            Breakout
                                                                                                              UG583_c2_46_102314
UDIMM #1 UDIMM #2
                                            Memory
                                            Controller
                                                                                 MAIN
                                                  P0                 L0            L1                    L2
                                             PKG Length     via    Breakout
UG583_c2_47_102314
Table 2-29: Impedance, Length, and Spacing Guidelines for DIMM Data Signals (Cont’d)
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
        2. If greater than 1.5 inches is needed in the breakout area, change L1 spacing to 20.0.
The skew constraints are shown in Table 2-31 and Table 2-32.
        Notes:
        1. Clock to DQS constraints should be from the UltraScale device to the DIMM.
        2. The data group includes dq and dm.
        3. Delays are based on 169.5 ps/in. See item 8 in General Memory Routing Guidelines.
        4. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       Table 2-32:      DDR3 DIMM Address, Command, and Control Skew Constraints
                      Signal                   Signal Segment           Skew Constraints (ps) Skew Constraints (mil)
           Address/command/control (1)      UltraScale device to
                                                                                 ±8 ps                         ±47
           to CK                            each memory device
                                            UltraScale device to                   2                           12
           ck_p and ck_n
                                            each DIMM
        Notes:
        1. The signal reset_n is not required to meet the skew constraints in this table.
        2. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
       constraints. When minimum and maximum values are available for the package delay, use the
       midrange between the minimum and maximum values. Memory device package flight times do not
       need to be factored in because their variances have been accounted for in these guidelines.
The skew constraints are listed in Table 2-34 and Table 2-35.
        Notes:
        1. The data group includes dq and dm_n/dbi_n.
        2. Clock to DQS constraints should be from the UltraScale device to the DIMM.
        3. Delays are based on 169.5 ps/in. See item 8 in General Memory Routing Guidelines.
        4. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       Table 2-35:      DDR4 DIMM Address, Command, and Control Skew Constraints
                      Signal                    Signal Segment          Skew Constraints (ps) Skew Constraints (mil)
        Address/command/ control (1)         UltraScale device to
                                                                                 ±8 ps                     ±47
        to CK                                each memory device
                                             UltraScale device to                   2                      12
        ck_p and ck_n
                                             each DIMM
        Notes:
        1. The signal reset_n is not required to meet the skew constraints in this table.
        2. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
       constraints. When minimum and maximum values are available for the package delay, use the
       midrange between the minimum and maximum values. Memory device package flight times do not
       need to be factored in because their variances have been accounted for in these guidelines.
       IMPORTANT: All guidelines in this section must be followed to achieve the maximum data rates
       specified for the LPDDR4 memory interface.
       Table 2-36:     Signal Connection Matrix for x32 Dual Channel LPDDR4 SDP without ECC
                FPGA Pins                   LPDDR4 Pins                   PCB Termination at Far End
        Clock Signals
                  CK0_P                           CK_t_A                             None
                  CK0_N                           CK_c_A
                  CK1_P                           CK_t_B                             None
                  CK1_N                           CK_c_B
        Address and Command Signals
                  A[5:0]                         CA[5:0]_A                           None
                 A[15:10]                        CA[5:0]_B                           None
        Control Signals (CKE, CS, and ODT (1))
                     CKE0                         CKE_A                           80Ω to V TT
                                                  CKE_B                                or
                                                                           160Ω to V TT /160Ω to GND
                     CS0                     CS_A CS_B                               None
                                             ODT_CA_A                        Direct connect to V DD2
                                             ODT_CA_B                        Direct connect to V DD2
        Data Signals
                 DQ[15:0]                    DQ[15:0]_A                              None
                DQ[31:16]                    DQ[15:0]_B                              None
                     DM0                         DMI0_A                              None
                     DM1                         DMI1_A                              None
                     DM2                         DMI0_B                              None
                     DM3                         DMI1_B                              None
                 DQS0_P/                     DQS0_t_A/                               None
                 DQS0_N                      DQS0_c_A
                 DQS1_P/                     DQS1_t_A/                               None
                 DQS1_N                      DQS1_c_A
                 DQS2_P/                     DQS0_t_B/                               None
                 DQS2_N                      DQS0_c_B
       Table 2-36:    Signal Connection Matrix for x32 Dual Channel LPDDR4 SDP without ECC (Cont’d)
                 FPGA Pins                     LPDDR4 Pins                      PCB Termination at Far End
                  DQS3_P/                        DQS1_t_B/                                     None
                  DQS3_N                         DQS1_c_B
        Reset
                  RESET_N                         RESET_n                               4.7 kΩ to GND
                      -                             ZQ0                                  240Ω to VDD2
                     ZQ                               -                                  240Ω to GND
        Notes:
        1. The FPGA ODT pins should be left unconnected. The ODT pin(s) of the memory device should be directly connected
           as specified in this table.
        2. The ZQ pin on the LPDDR4 devices should be tied to V DD2 through a 240Ω resistor.
        3. Actual signal list might vary based on configuration.
       Signals and Connections for x32 Dual Channel LPDDR4 DDP without ECC
       The required signals for a x32 dual channel LPDDR4 DDP non-ECC interface are shown in
       Table 2-37. The table shows which FPGA memory interface signals connect to which pins on
       the LPDDR4 device, along with any required termination.
       Table 2-37:    Signal Connection Matrix for x32 Dual Channel LPDDR4 DDP without ECC
                 FPGA Pins                   LPDDR4 Pins                       PCB Termination at Far End
        Clock Signals
                  CK0_P                          CK_t_A                                        None
                  CK0_N                          CK_c_A
                  CK1_P                          CK_t_B                                        None
                  CK1_N                          CK_c_B
        Address and Command Signals
                   A[5:0]                      CA[5:0]_A                                       None
                  A[15:10]                     CA[5:0]_B                                       None
        Control Signals (CKE, CS, and ODT (1))
                   CKE0                          CKE0_A                                  80Ω to VTT
                                                 CKE0_B                                         or
                                                                                160Ω to VTT/160Ω to GND
                   CKE1                          CKE1_A                                  80Ω to VTT
                                                 CKE1_B                                         or
                                                                                160Ω to VTT/160Ω to GND
                     CS0                         CS0_A                                         None
                                                 CS0_B
                     CS1                         CS1_A                                         None
                                                 CS1_B
       Table 2-37:     Signal Connection Matrix for x32 Dual Channel LPDDR4 DDP without ECC (Cont’d)
                 FPGA Pins                    LPDDR4 Pins                       PCB Termination at Far End
                                               ODT_CA_A                            Direct connect to V DD2
                                               ODT_CA_B                            Direct connect to V DD2
        Data Signals
                 DQ[15:0]                      DQ[15:0]_A                                    None
                 DQ[31:16]                     DQ[15:0]_B                                    None
                    DM0                          DMI0_A                                      None
                    DM1                          DMI1_A                                      None
                    DM2                          DMI0_B                                      None
                    DM3                          DMI1_B                                      None
                  DQS0_P                        DQS0_t_A                                     None
                  DQS0_N                        DQS0_c_A
                  DQS1_P                        DQS1_t_A                                     None
                  DQS1_N                        DQS1_c_A
                  DQS2_P                        DQS0_t_B                                     None
                  DQS2_N                        DQS0_c_B
                  DQS3_P                        DQS1_t_B                                     None
                  DQS3_N                        DQS1_c_B
        Reset
                 RESET_N                        RESET_n                                 4.7 kΩ to GND
        Notes:
        1. The FPGA ODT pins should be left unconnected. The ODT pin(s) of the memory device should be directly connected
           as specified in this table.
        2. Each ZQ pin on the LPDDR4 devices should be individually tied to V DD2 through a 240Ω resistor.
        3. The ZQ pin on the FPGA should be tied to GND through a 240Ω resistor.
                                        Memory
                                       Controller
                                                                                                                  DRAM
                                                              Breakout           Main    Breakout
                                             P0                 L0               L1        L2
                                                       Via                                             Via
                                      PKG Length
                                                                                                             UG583_c8_03_011516
       Table 2-39: Impedance, Length, and Spacing Guidelines for Address and Command Signals in
       LPDDR4 Interface without ECC
                                                                        L0                   L1                 L2
                                     Parameter                        (Device                                 (DRAM               Units
                                                                     Breakout)           (Main PCB)          Breakout)
            Trace type                                                   Stripline         Stripline          Stripline             –
            Single-ended impedance Z0                                    50±10%            39±10%              52±10%               Ω
            Trace width                                                    4.0               6.0                   3.5             mil
            Trace length                                          0.0~0.55/0.95 (1)          ≤ 4.0               ≤ 0.3            inches
            Spacing in addr/cmd (minimum)                                  4.0               8.0                   4.0             mil
            Spacing to clock signals (minimum)                             8.0                  20                 8.0             mil
            Spacing to other group signals (minimum)                       8.0                  30                 8.0             mil
            Maximum PCB via count                                                           2                                       –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
Channel A Channel B
                                            PKG Length
                                                                Breakout     Main   Breakout             Breakout          Breakout
                                                  P0              L0          L1      L2                    L2        L3      L2
                                                          Via                                      Via          Channel to             Via
                                                                                                                 channel
                                                                                                                              UG583_c8_04_011516
Table 2-40:                           Impedance, Length, and Spacing Guidelines for Chip Select in LPDDR4 Interface without
ECC
                                                                     L0                                         L2
                                                                                         L1
                                      Parameter                    (Device           (Main PCB)               (DRAM                   L3           Units
                                                                  Breakout)                                  Breakout)
Trace type                                                         Stripline           Stripline              Stripline         Stripline            –
Single-ended impedance Z0                                          50±10%              39±10%                 52±10%            50±10%               Ω
Trace width                                                            4.0                  6.0                     3.5             4.0             mil
Trace length                                                    0.0~0.55/0.95(1)           ≤ 4.0                ≤ 0.3              ≤ 0.3           inches
Spacing to clock signals (minimum)                                     8.0                  20                      8.0               20            mil
Spacing to other group signals
                                                                       8.0                  30                      8.0               30            mil
(minimum)
Maximum PCB via count                                                                                3                                               –
Notes:
1. See item 2 in General Memory Routing Guidelines.
UG583_c8_05_062816
Table 2-41: Impedance, Length, and Spacing Guidelines for Clock Enable in LPDDR4 Interface
without ECC
                                                             L0                 L1                 L2                                L4
                Parameter                                  (Device                               (DRAM            L3                                   Units
                                                          Breakout)         (Main PCB)          Breakout)                          (Stub)
Notes:
1. See item 2 in General Memory Routing Guidelines.
Memory Controller
       Table 2-42: Impedance, Length, and Spacing Guidelines for CK and DQS in LPDDR4 Interface
       without ECC
                                                                          L0                                   L2
                                                                                            L1
                                     Parameter                          (Device         (Main PCB)           (DRAM               Units
                                                                       Breakout)                            Breakout)
            Trace type                                                  Stripline        Stripline           Stripline             –
            Clock differential impedance Zdiff                          86±10%           76±10%              88±10%                Ω
            Trace width/space/width                                    4.0/4.0/4.0      6.0/6.0/6.0         3.5/3.5/3.5           mil
            Trace length                                            ≤ 0.55/0.95 (1)        ≤ 4.0               ≤ 0.3             inches
            Spacing in addr/cmd/ctrl (minimum)                             8.0                20                8.0               mil
            Spacing to other group signals (minimum)                       8.0                30                8.0               mil
            Maximum PCB via count per signal                                              2                                        –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
                                    Memory Controller
                                                                                                                   DRAM
                                                                Breakout        Main    Breakout
                                              P0                  L0               L1      L2
                                                         Via                                           Via
                                      PKG Length
                                                                                                             UG583_c8_07_011516
       Table 2-43: Impedance, Length, and Spacing Guidelines for DQ and DM in LPDDR4 Interface
       without ECC
                                                                           L0                                   L2
                                                                                             L1
                                      Parameter                          (Device         (Main PCB)           (DRAM               Units
                                                                        Breakout)                            Breakout)
            Trace type                                                     Stripline       Stripline           Stripline            –
            Single-ended impedance Z0                                      50±10%          39±10%              52±10%               Ω
            Trace width                                                      4.0                6.0                3.5             mil
            Trace length                                               ≤0.55/0.95 (1)        ≤4.0                 ≤ 0.3           inches
            Spacing in byte (including DQS) (minimum)                        4.0                8.0                4.0             mil
            Spacing byte to byte (minimum)                                   8.0                30                 8.0             mil
            Spacing to other group signals (minimum)                         8.0                20                 8.0             mil
            Maximum PCB via count                                                           2                                       –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
        Notes:
        1. For skew specifications, refer to items 3–8 in General Memory Routing Guidelines.
       IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
       constraints. When minimum and maximum values are available for the package delay, use the
       midrange between the minimum and maximum values. Memory device package flight times do not
       need to be factored in because their variances have been accounted for in these guidelines.
       IMPORTANT: All guidelines in this section must be followed to achieve the maximum data rates
       specified for the LPDDR4 interface.
       Table 2-46:      Signal Connection Matrix for x32 Dual Channel LPDDR4 SDP with ECC
                                   LPDDR4 Pins             LPDDR4 Pins
            FPGA Pins              MAIN DEVICE              ECC DEVICE        PCB Termination at Far End
        Clock Signals
              CK0_P                   CK_t_A                                         40Ω to GND
              CK0_N                   CK_c_A                                         40Ω to GND
              CK1_P                   CK_t_B                  CK_t_A                 40Ω to GND
              CK1_N                   CK_c_B                  CK_c_A                 40Ω to GND
        Address and Command Signals
               A[5:0]                CA[5:0]_A                                       40Ω to GND
             A[15:10]                CA[5:0]_B               CA[5:0]_A               40Ω to GND
        Control Signals (CKE, CS, and ODT (1))
               CKE0                   CKE_A                   CKE_A                   80Ω to VTT
                                      CKE_B                                               or
                                                                               160Ω to VTT/160Ω to GND
                CS0                    CS_A                       CS_A               40Ω to GND
                                       CS_B                       CS_B
                                     ODT_CA_A                ODT_CA_A            Direct connect to GND
                                     ODT_CA_B                                    Direct connect to GND
        Data Signals
             DQ[15:0]               DQ[15:0]_A                                           None
             DQ[31:16]              DQ[15:0]_B                                           None
             DQ[71:64]                                       DQ[7:0]_A                   None
               DM0                    DMI0_A                                             None
               DM1                    DMI1_A                                             None
               DM2                    DMI0_B                                             None
               DM3                    DMI1_B                                             None
               DM8                                            DMI0_A                     None
Table 2-46: Signal Connection Matrix for x32 Dual Channel LPDDR4 SDP with ECC (Cont’d)
             FPGA Pins                LPDDR4 Pins                  LPDDR4 Pins          PCB Termination at Far End
                                      MAIN DEVICE                   ECC DEVICE
                DQS0_P                  DQS0_t_A                                                     None
                DQS0_N                  DQS0_c_A
                DQS1_P                  DQS1_t_A                                                     None
                DQS1_N                  DQS1_c_A
                DQS2_P                  DQS0_t_B                                                     None
                DQS2_N                  DQS0_c_B
                DQS3_P                  DQS1_t_B                                                     None
                DQS3_N                  DQS1_c_B
                DQS8_P                                               DQS0_t_A                        None
                DQS8_N                                               DQS0_c_A
        Reset
               RESET_N                   RESET_n                     RESET_n                    4.7 kΩ to GND
        Notes:
        1. The FPGA ODT pins should be left unconnected. The ODT pin(s) of the memory device should be directly connected
           as specified in this table.
        2. Unused inputs to the LPDDR4 ECC device can be grounded through a common 100Ω resistor.
        3. Each ZQ pin on the LPDDR4 devices should be individually tied to V DD2 through a 240Ω resistor.
        4. The ZQ pin on the FPGA should be tied to GND through a 240Ω resistor.
        5. Actual signal list might vary based on configuration.
       The required signals for a x32 dual channel LPDDR4 DDP with ECC interface are shown in
       Table 2-47. The table shows how to connect the FPGA memory interface signals to the
       correct pins on the LPDDR4 devices, along with any required termination.
       Table 2-47:         Signal Connection Matrix for x32 Dual Channel LPDDR4 DDP with ECC
                                       LPDDR4 Pins                 LPDDR4 Pins
             FPGA Pins                                                                   PCB Termination at Far End
                                       Main Device                  ECC Device
        Clock Signals
                 CK0_P                   CK_t_A(2)                                                40Ω to GND
                 CK0_N                   CK_c_A (2)                                               40Ω to GND
                 CK1_P                    CK_t_B                      CK_t_A                      40Ω to GND
                 CK1_N                    CK_c_B                      CK_c_A                      40Ω to GND
        Address and Command Signals
                  A[5:0]                CA[5:0]_A(2)                                              40Ω to GND
                 A[15:10]                CA[5:0]_B                   CA[5:0]_A                    40Ω to GND
        Control Signals (CKE, CS, and ODT (1))
                  CKE0                    CKE0_A                      CKE0_A                      80Ω to VTT
                                          CKE0_B                                                       or
                                                                                          160Ω to VTT/160Ω to GND
Table 2-47: Signal Connection Matrix for x32 Dual Channel LPDDR4 DDP with ECC (Cont’d)
             FPGA Pins                 LPDDR4 Pins                 LPDDR4 Pins           PCB Termination at Far End
                                       Main Device                  ECC Device
                  CKE1                       CKE1_A                   CKE1_A                      80Ω to VTT
                                             CKE1_B                                                    or
                                                                                          160Ω to VTT/160Ω to GND
                  CS0                        CS0_A                  CS0_A CS0_B                   40Ω to GND
                                             CS0_B
                  CS1                        CS1_A                  CS1_A CS1_B                   40Ω to GND
                                             CS1_B
                                        ODT_CA_A                     ODT_CA_A                     40Ω to GND
                                       ODT_CA_B (2)                                               40Ω to GND
        Data Signals
               DQ[15:0]                 DQ[15:0]_A                                                    None
              DQ[31:16]                 DQ[15:0]_B                                                   None
              DQ[71:64]                                              DQ[7:0]_A                       None
                  DM0                        DMI0_A                                                  None
                  DM1                        DMI1_A                                                  None
                  DM2                        DMI0_B                                                  None
                  DM3                        DMI1_B                                                  None
                  DM8                                                 DMI0_A                         None
                 DQS0_P                  DQS0_t_A                                                     None
                 DQS0_N                  DQS0_c_A
                 DQS1_P                  DQS1_t_A                                                     None
                 DQS1_N                  DQS1_c_A
                 DQS2_P                  DQS0_t_B                                                     None
                 DQS2_N                  DQS0_c_B
                 DQS3_P                  DQS1_t_B                                                     None
                 DQS3_N                  DQS1_c_B
                 DQS8_P                                              DQS0_t_A                         None
                 DQS8_N                                              DQS0_c_A
        Reset
                 RESET_B                     RESET_n                  RESET_n                    4.7kΩ to GND
        Notes:
        1. The FPGA ODT pins should be left unconnected. The ODT pin(s) of the memory device should be directly connected
           as specified in this table.
        2. This pin ends in a termination.
        3. Unused inputs to the LPDDR4 ECC device can be grounded through a common 100Ω resistor.
        4. Each ZQ pin on the LPDDR4 devices should be individually tied to V DD2 through a 240Ω resistor.
        5. The ZQ pin on the FPGA should be tied to GND through a 240Ω resistor.
        6. Actual signal list might vary based on configuration.
Regular Unit
                                                                                             Die 0     Die 1
                                                                                           Channel A Channel A
                                    Memory Controller
                                      PKG Length                           Main
                                                              Breakout             Breakout          Breakout                      Via
                                             P0                 L0         L1         L2               L2       L3
                                                        Via                                   Via               Stub
                                                                                                                     RTT = 40Ω
UG583_c8_09_011516
Table 2-49: Impedance, Length, and Spacing Guidelines for CA_A Address/Command Signals in
LPDDR4 Interface with ECC
                                                                       L0                                      L2
                                    Parameter                        (Device                 L1              (DRAM                L3              Units
                                                                                         (Main PCB)                             (Stub)
                                                                    Breakout)                               Breakout)
     Trace type                                                     Stripline             Stripline         Stripline       Stripline                –
     Single-ended impedance Z0                                       50±10%               39±10%             52±10%          50±10%                 Ω
     Trace width                                                       4.0                  6.0                3.5               4.0                mil
     Trace length                                                 ≤ 0.55/0.95(1)            ≤ 4.0             ≤ 0.3              ≤ 0.5           inches
     Spacing to addr/cmd (minimum)                                     4.0                  6.0                4.0               6.0                mil
     Spacing to clock signals (minimum)                                8.0                   20                8.0                20                mil
     Spacing to other group signals
                                                                       8.0                   30                8.0                30                mil
     (minimum)
     Maximum PCB via count                                                                            3                                              –
 Notes:
 1. See item 2 in General Memory Routing Guidelines.
                   Memory Controller
                             PKG Length                      Main
                                                  Breakout            Breakout                                          Breakout                    Via
                                   P0               L0       L1          L2                         L3                     L2            L4
                                            Via                                    Via       Chip to Chip        Via                     Stub
RTT = 40Ω
UG583_c8_10_011516
                              Table 2-50 shows the impedance, length, and spacing guidelines for CA_B
                              address/command signals in the LPDDR4 interface with ECC.
Table 2-50: Impedance, Length, and Spacing Guidelines for CA_B Address/Command Signals in
LPDDR4 Interface with ECC
                                                               L0                    L1                L2                   L3                  L4
                              Parameter                      (Device                                 (DRAM               (Chip to                              Units
                                                            Breakout)            (Main PCB)         Breakout)             Chip)               (Stub)
 Notes:
 1. See item 2 in General Memory Routing Guidelines.
           Memory Controller
                                                                                           Channel to
                        PKG Length                            Main
                                                Breakout                 Breakout           Channel                                    Breakout                    Via
                               P0                 L0           L1           L2                 L3                   L4                   L2            L5
                                          Via                                        Via                    Via    Chip to      Via                  Stub
                                                                                                                    Chip
                                                                                                                                                     RTT = 40Ω
UG583_c8_11_041416
                             Table 2-51 shows the impedance, length, and spacing guidelines for CS0/CS1 routing in the
                             LPDDR4 interface with ECC.
Table 2-51:    Impedance, Length, and Spacing Guidelines for CS0/CS1 in LPDDR4 Interface with ECC
                                  L0                             L2        L3       L4
         Parameter              (Device             L1         (DRAM (Channel to (Chip to            L5        Units
                                                (Main PCB)                                         (Stub)
                               Breakout)                      Breakout) Channel)  Chip)
Trace type                      Stripline        Stripline     Stripline   Stripline   Stripline   Stripline     –
Single-ended
                                 50±10%          39±10%        52±10%      52±10%      52±10%      50±10%        Ω
impedance Z0
Trace width                        4.0                 6.0       3.5         3.5         3.5         4.0        mil
Trace length                  ≤ 0.55/0.95 (1)         ≤ 4.0      ≤ 0.3       ≤ 0.5       ≤ 1.5       ≤0.5      inches
Spacing to clock signals
                                   8.0                 20        8.0          20          20          20        mil
(minimum)
Spacing to other group
                                   8.0                 30        8.0          30          30          30        mil
signals (minimum)
Maximum PCB via count                                                  4                                         –
Notes:
1. See item 2 in General Memory Routing Guidelines.
Option 1 VTT
Option 2 VDDQ
UG583_c8_12_062816
                                Table 2-52 shows the impedance, length, and spacing guidelines for CKE0/CKE1 in the
                                LPDDR4 interface with ECC.
Table 2-52:                             Impedance, Length, and Spacing Guidelines for CKE0/CKE1 in LPDDR4 Interface with ECC
                                                          L0                     L1        L2        L3       L4                                        L5
                               Parameter                (Device                          (DRAM (Channel to (Chip to                                                Units
                                                       Breakout)             (Main PCB) Breakout) Channel)  Chip)                                     (Stub)
Table 2-52:                           Impedance, Length, and Spacing Guidelines for CKE0/CKE1 in LPDDR4 Interface with ECC
                                                          L0               L1        L2        L3       L4                                 L5
         Parameter                                      (Device                    (DRAM (Channel to (Chip to                                             Units
                                                       Breakout)       (Main PCB) Breakout) Channel)  Chip)                              (Stub)
Notes:
1. See item 2 in General Memory Routing Guidelines.
                                                                                                        Regular Unit
                                                                                                        Channel A
                                                                                                       Die 0       Die 1
                                            Memory
                                            Controller
UG583_c8_13_041416
Table 2-53:                           Impedance, Length, and Spacing Guidelines for CK0 in LPDDR4 Interface with ECC
                                                                        L0                                         L2
                                                                                              L1                                       L3
                                      Parameter                       (Device             (Main PCB)             (DRAM               (Stub)               Units
                                                                     Breakout)                                  Breakout)
Trace type                                                            Stripline            Stripline              Stripline         Stripline               –
Clock differential impedance Z diff                                   86±10%               76±10%                 88±10%            93±10%                 Ω
Trace width/space/width                                              4.0/4.0/4.0          6.0/6.0/6.0           3.5/3.5/3.5        4.0/6.0/4.0             mil
Table 2-53:                           Impedance, Length, and Spacing Guidelines for CK0 in LPDDR4 Interface with ECC (Cont’d)
                                                                        L0                      L1                  L2                    L3
                                      Parameter                       (Device                                     (DRAM                                    Units
                                                                     Breakout)              (Main PCB)           Breakout)              (Stub)
Notes:
1. See item 2 in General Memory Routing Guidelines.
                                                                                        Channel B                Channel A
                                                                                      Die 0       Die 1        Die 0       Die 1
UG583_c8_14_041416
         Table 2-54 shows the impedance, length, and spacing guidelines for CK1 in the LPDDR4
         interface with ECC.
Table 2-54:                           Impedance, Length, and Spacing Guidelines for CK1 in LPDDR4 Interface with ECC
                                                                 L0                                    L2                 L3
                    Parameter                                  (Device             L1                (DRAM             (Chip to           L4                Units
                                                                               (Main PCB)                                               (Stub)
                                                              Breakout)                             Breakout)           Chip)
Trace type                                                     Stripline           Stripline         Stripline         Stripline       Stripline              –
Clock differential impedance
                                                               86±10%              76±10%            88±10%            93±10%          93±10%                 Ω
Z diff
Trace width/space/width                                       4.0/4.0/4.0         6.0/6.0/6.0       3.5/3.25/3.5      3.5/4.0/3.5     4.0/6.0/4.0            mil
Trace length                                              ≤0.55/0.95 (1)              ≤4.0             ≤0.3              ≤ 1.5              ≤0.5            inches
Spacing in addr/cmd/ctrl
                                                                  8.0                 20                  8.0             20                20               mil
(minimum)
Spacing to other group signals
                                                                  8.0                 30                  8.0             30                30               mil
(minimum)
Maximum PCB via count per
                                                                                                      4                                                       –
signal
Notes:
1. See item 2 in General Memory Routing Guidelines.
Memory Device
                                                                                                                                    Die 0     Die 1
                                          Memory Controller
                                             PKG Length
                                                                           Breakout          Main          Breakout
                                                   P0                        L0              L1                 L2
                                                                  Via                                                    Via
                                                                                                                                       UG583_c8_15_011516
       Table 2-55 shows the impedance, length, and spacing guidelines for DQ in the LPDDR4
       interface with ECC.
       Table 2-55:                  Impedance, Length, and Spacing Guidelines for DQ in LPDDR4 Interface with ECC
                                                                             L0                                            L2
                                      Parameter                            (Device                 L1                    (DRAM            Units
                                                                                               (Main PCB)
                                                                          Breakout)                                     Breakout)
            Trace type                                                     Stripline              Stripline               Stripline         –
            Single-ended impedance Z0                                      50±10%                 39±10%                  52±10%            Ω
            Trace width                                                       4.0                      6.0                    3.5          mil
            Trace length                                                 ≤0.55/0.95 (1)             ≤4.0                     ≤ 0.3        inches
            Spacing in byte (including DQS) (minimum)                         4.0                      8.0                    4.0          mil
            Spacing byte to byte (minimum)                                    8.0                      20                     4.0          mil
            Spacing to other group signals (minimum)                          8.0                      30                     8.0          mil
            Maximum PCB via count                                                                  2                                        –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
Memory Device
Die 0 Die 1
Memory Controller
UG583_c8_16_041416
       Table 2-56 shows the impedance, length, and spacing guidelines for DQS in the LPDDR4
       interface with ECC.
       Table 2-56:        Impedance, Length, and Spacing Guidelines for DQS in LPDDR4 Interface with ECC
                                                                 L0                                  L2
                            Parameter                          (Device            L1               (DRAM        Units
                                                                              (Main PCB)
                                                              Breakout)                           Breakout)
           Trace type                                          Stripline           Stripline       Stripline      –
           Clock differential impedance Zdiff                  86±10%              76±10%          88±10%         Ω
           Trace width/space/width                            4.0/4.0/4.0         6.0/6.0/6.0     3.5/3.5/3.5    mil
           Trace length                                   ≤0.55/0.95 (1)             ≤4.0            ≤ 0.3      inches
           Spacing in addr/cmd/ctrl (minimum)                     4.0                   20            4.0        mil
           Spacing to other group signals (minimum)               8.0                   30           8.0         mil
           Maximum PCB via count per signal                                         2                             –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
        Notes:
        1. Consider CS and CKE to be part of the A group.
        2. For skew specifications, refer to items 3–8 in General Memory Routing Guidelines.
       IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
       constraints. When minimum and maximum values are available for the package delay, use the
       midrange between the minimum and maximum values. Memory device package flight times do not
       need to be factored in because their variances have been accounted for in these guidelines.
       IMPORTANT: All routing guidelines in this section must be followed to achieve the maximum data rates
       specified for the LPDDR3 SDRAM interface for typical system designs. Customers could have unique or
       specific designs with particular violations of some rules. In these scenarios, design or routing trade-offs
       have to be taken in other routing parameters to mitigate the risk. System-level channel signal integrity
       simulations are required to evaluate such trade-offs.
        Notes:
        1. Actual signal list might vary based on configuration.
DRAM
                                               DQ/DQS/                               Addr/Cmd/
                                                DQM                                   Ctrl/Clk
x32
FPGA
8*BFBB
                                                                DRAM2                                                          DRAM1
                                                                                           Ctrl (CS/CKE/ODT)                                  Ctrl (CS/CKE/ODT)
x32 x32
CA_B CA_A
FPGA
8*BFBB
                                                                                                                                                    VTT
                                                                                                                  DRAM
                                                                                                                                           0.1 µF
                                                                  PKG Length
                                                                                   via Breakout Main   Breakout    via   Breakout To RTT
                                                                        P0                L2
                                                                                          L0    L1        L2               L2       L3
UG583_c8_29_061316
Table 2-61:    LPDDR3 x32 SDRAM System Impedance, Length, and Spacing Guidelines for Clock Signals
                               L0                                       L2
      Parameter              (Device               L1                 (DRAM              L3          Units
                                               (Main PCB)                              (OBT)
                            Breakout)                                Breakout)
Trace type                   Stripline           Stripline            Stripline       Stripline        -
Single-ended                 50±10%              39±10%               52±10%          39±10%           Ω
impedance Z0
Differential                 86±10%              76±10%               88±10%          76±10%           Ω
impedance Z0d
Trace                       4.0/4.0/4.0        6.0/6.0/6.0          3.5/3.25/3.5     6.0/6.0/6.0      mil
width/spacing/width
(nominal)
Trace length                 0.0~0.55            1.0~4.0               0.0~0.3         0.0~0.5        inch
Spacing to clock                8.0                   20                 8.0             20           mil
signals (minimum)
Spacing to other                8.0                   30                 8.0             30           mil
group signals
(minimum)
Maximum PCB via                                                3                                      mil
count
Notes:
1. See item 2 in General Memory Routing Guidelines.
Table 2-62:    LPDDR3 x64 SDRAM System Impedance, Length, and Spacing Guidelines for Clock Signals
                               L0                                       L2
      Parameter              (Device               L1                 (DRAM              L3          Units
                                               (Main PCB)                              (OBT)
                            Breakout)                                Breakout)
Trace type                   Stripline           Stripline            Stripline       Stripline        -
Single-ended                 50±10%              39±10%               52±10%          39±10%           Ω
impedance Z0
Differential                 86±10%              76±10%               88±10%          76±10%           Ω
impedance Z0d
Trace                       4.0/4.0/4.0        6.0/6.0/6.0          3.5/3.25/3.5     6.0/6.0/6.0      mil
width/spacing/width
(nominal)
Trace length                 0.0~0.55            1.0~4.0               0.0~0.3         0.0~0.5        inch
Spacing to clock                8.0                   20                 8.0             20           mil
signals (minimum)
Spacing to other                8.0                   30                 8.0             30           mil
group signals
(minimum)
Table 2-62:                           LPDDR3 x64 SDRAM System Impedance, Length, and Spacing Guidelines for Clock Signals
                                                    L0                  L1                      L2                     L3
      Parameter                                   (Device                                     (DRAM                                      Units
                                                 Breakout)          (Main PCB)               Breakout)               (OBT)
Notes:
1. See item 2 in General Memory Routing Guidelines.
DRAM VTT
               Figure 2-52:                    Address, Command, and Control Point-to-Point Termination for LPDDR3 SDRAM
         Table 2-63 and Table 2-64 show the LPDDR3 SDRAM impedance, length, and spacing
         guidelines for address, command, and control signals for x32 and x64 systems, respectively.
         In the LPDDR3 DRAM package, the vertical pitch is only 0.65 mm. Due to limited space, the
         trace impedance in L2 could be up to 60Ω . Address, command, and control signals have
         on-board termination. There is no on-die termination available. All address, command, and
         control signals are point-to-point connections for a x32 single-SDRAM system. For a x64
         two-SDRAM system, address and command signals are point-to-point connections while
         control signals including CS, CKE, and ODT are connected from the FPGA to the two
         SDRAMs in fly-by topology.
Table 2-63: LPDDR3 x32 SDRAM Impedance, Length, and Spacing Guidelines for Address, Command,
and Control Signal Point-to-Point Connection
                                                  L0                 L1               L2              L3
               Parameter                        (Device                             (DRAM                      Units
                                               Breakout)         (Main PCB)        Breakout)        (OBT)
Notes:
1. See item 2 in General Memory Routing Guidelines.
Table 2-64: LPDDR3 x64 SDRAM Impedance, Length, and Spacing Guidelines for Address and Command
Signal Point-to-Point Connection
                                                 L0                                   L2
                                                                    L1                                L3
               Parameter                       (Device          (Main PCB)          (DRAM           (OBT)      Units
                                              Breakout)                            Breakout)
Trace type                                     Stripline          Stripline        Stripline       Stripline     -
Single-ended impedance Z0                      50±10%             39±10%            52±10%         39±10%       Ω
Trace width (nominal)                             4.0                 6               3.5              6        mil
Trace length                                   0.0~0.55            1.0~4.0          0.0~0.2        0.0~0.5     inch
Spacing in cmd/addr/ctrl signals                  4.0                8.0              4.0             4.0       mil
(minimum)
Spacing to clock signals (minimum)                8.0                 20              8.0             20        mil
Spacing to other group signals                    8.0                 30              8.0             30        mil
(minimum)
Maximum PCB via count                                                          3                                mil
Notes:
1. See item 2 in General Memory Routing Guidelines.
2. Control signals (CS/CKE/ODT) are routed under different constraints.
                                                                              DRAM #1                            DRAM #2
                                                                                                                                          VTT
                                                                                                 DRAM to                             39Ω
                                      PKG Length
                                                         Breakout Main Breakout          Breakout DRAM Breakout        Breakout To RTT
                                           P0               L0     L1     L2               L2         L3    L2            L2      L4
                                                   Via                             Via                              Via                Via
                                                                                                                             UG583_c8_31_060816
Table 2-65: LPDDR3 SDRAM Impedance, Length, and Spacing Guidelines for
Control Signals (CKE/CS/ODT)
                                                            L0                               L2               L3
                     Parameter                            (Device          L1              (DRAM           (DRAM to            L4             Units
                                                                       (Main PCB)                                            (OBT)
                                                         Breakout)                        Breakout)         DRAM)
Trace type                                                Stripline      Stripline         Stripline       Stripline        Stripline              -
Single-ended impedance Z0                                  52±10%        39±10%            52±10%          52±10%           50±10%                 Ω
Trace width (nominal)                                        3.5           6.0                  3.5           3.5             4.0                 mil
Trace length                                              0.0~0.55       1.2~4.0           0.0~0.3          2.0~2.4         0.0~0.5               inch
Spacing in cmd/addr/ctrl                                     4.0           8.0                  4.0           4.0              8                  mil
signals (minimum)
Spacing to clock signals                                     8.0            20                  8.0           20               20                 mil
(minimum)
Spacing to other group signals                               8.0            30                  8.0           30               30                 mil
(minimum)
Maximum PCB via count                                                                           4                                                 mil
Notes:
1. See item 2 in General Memory Routing Guidelines.
2. The entire trace length between two SDRAM control signal balls including breakout region should be between
   2.0 to 2.4 inches.
3. Clock, address, and command point-to-point traces at the second SDRAM need to have an extra 0.5 inch added when
   compared to the control trace to compensate for control double loading.
Memory Controller
Table 2-66: LPDDR3 SDRAM Impedance, Length, and Spacing Guidelines for Data Signals
                               Parameter                                 L0                   L1                        L2                     Units
                                                                 (Device Breakout)        (Main PCB)              (DRAM Breakout)
Trace type                                                            Stripline                Stripline              Stripline                  -
DQ single-ended impedance Z 0                                         50±10%                   39±10%                 52±10%                    Ω
DQS differential impedance Zdiff                                      86±10%                   76±10%                 88±10%                    Ω
Trace width (nominal)                                                     4.0                    6.0                      3.5                   mil
Differential trace                                                  4.0/4.0/4.0            6.0/6.0/6.0              3.5/3.25/3.5                mil
width/space/width
Trace length (nominal)                                               0.0~0.55                  1.0~4.0                0.0~0.3                  inch
Spacing in byte (minimum)                                                 4.0                    8.0                      4.0                   mil
Spacing byte to byte (minimum)                                            4.0                     20                      4.0                   mil
DQ to DQS spacing (minimum)                                               4.0                     20                      8.0                   mil
Spacing to other group signals                                            8.0                     30                      8.0                   mil
(minimum)
Maximum PCB via count                                                                             2                                             mil
Notes:
1. See item 2 in General Memory Routing Guidelines.
         The maximum length constraints are shown in Table 2-67 and Table 2-68. The maximum
         length is counted from the FPGA, through the PCB board, to the SDRAM package.
Notes:
1. This does not take into account the extra 0.5 in trace on the clock, address, and command signals in a x64 system.
2. Constraints are referred to signals within the same SDRAM.
3. For skew specifications, refer to items 3–8 in General Memory Routing Guidelines.
         IMPORTANT: Package routing length must be included in both maximum length constraints and skew
         constraints. When minimum and maximum values are available for the package delay, use the
         midrange between the minimum and maximum values.
                               Due to the extra loading at the second DRAM in the control bus, additional trace length is
                               needed in address, command, and clock traces at the second SDRAM in a x64 two-SDRAM
                               system shown in Figure 2-55.
X-Ref Target - Figure 2-55
                                             DRAM2                                             DRAM1
                                                                    Ctrl (CS/CKE/ODT)                      Ctrl (CS/CKE/ODT)
                                                                           L4
x32 L2 x32 L1
CA_B CA_A
FPGA
8*BFBB
The matching constraints for control, address, command, and clock signals are shown here:
                               •   L1 = L3
                               •   L2 = L3 + L4 + 0.5 in
       IMPORTANT: All routing guidelines in this section must be followed to achieve the maximum data rates
       specified for the RLDRAM 3 memory interface for typical system designs. Customers could have unique
       or specific designs with particular violations of some rules. In these scenarios, design or routing
       trade-offs have to be taken in other routing parameters to mitigate the risk. System-level channel
       signal integrity simulations are required to evaluate such trade-offs. It is important to read the General
       Memory Routing Guidelines section before continuing with this section.
        Notes:
        1. Actual signal list might vary based on configuration.
RLDRAM3 Device 1
                                                                                          WE#/REF#
                                                                  RESET#
                                                                                                                                         QK/QK#
                                                                           CK/CK#
                                                                                                                  DK/DK#
                                        FPGA
                                                                                                                                 QVLD
                                                                                                      BA/A
                                                                                    CS#
DM
DQ
                                                                                                                                                        MF
                                                                                                                                                   ZQ
                                       Device1 QK/QK#
                                       Device2 QK/QK#
                                         Device1 QVLD
                                         Device2 QVLD
                                           Device1 DQ
                                           Device2 DQ
Device1 DK/DK#
                                       Device2 DK/DK#
                                           Device1 DM
                                           Device2 DM
                                                          VTT
                                                   BA/A
                                                          VTT
                                           WE#/REF#
                                                          VTT
                                                   CS#
                                              CK/CK#
                                                          GND
                                             RESET#                                                                                                     VDDQ
                                                                  RESET#
                                                                           CK/CK#
                                                                                    CS#
                                                                                           WE#/REF#
                                                                                                      BA/A
DM
DK/DK#
DQ
QVLD
QK/QK#
ZQ
MF
                                                                                               RLDRAM3 Device 2
                                                                                                                                         UG583_c2_26_081415
DK/DK QK/QK D DM CK/CK A/BA/REF/WE CS RESET DK/DK QK/QK D DM CK/CK A/BA/REF/WE CS RESET
                    FPGA
              Device 1 DK/DK
              Device 2 DK/DK
             Device 1 QK/QK
             Device 2 QK/QK
                             Device 1 DQ
                             Device 2 DQ
                             Device 1 DM
                             Device 2 DM
                                  CK/CK
                     A/BA/REF/WE
                                     CS
                                 RESET
                                                                                                                                                             UG583_c2_27_091015
VTT
                                                                                                                                             39Ω
                                                                                                                              STUB
                                                    Memory                                                                                   via
                                                    Controller                                                     L2           L3
                                                                                        MAIN                                  DRAM
                                                                       via
                                                                                                                                 Top Layer
                                      Top Layer           P0                   L0       L1          L2       via
                                                     PKG Length              Breakout            Breakout
                                                                                                                              DRAM
                                                                                                                                 Bottom Layer
                                                                                                                                     UG583_c2_28_073014
                         Figure 2-58:             Address and Command Clamshell Routing for Clamshell-mounted RLDRAM 3
                                                                         Memories
         Table 2-71 shows the clamshell routing impedance, length, and spacing guidelines for
         address and command signals.
Table 2-71: Clamshell Routing Impedance, Length, and Spacing Guidelines for Address and
Command Signals
                                                                                                                      L2
                                                                         L0                      L1                                      L3
                                 Parameter                       (Device Breakout)           (Main PCB)             (DRAM             (To RTT)            Units
                                                                                                                   Breakout)
Trace type                                                           Stripline                 Stripline            Stripline         Stripline             –
Single-ended impedance Z0                                            50±10%                    39±10%               50±10%            39±10%                Ω
Trace width                                                             4.0                      6.0                    4.0               6.0              mil
Trace length                                                       0.0~0.8/1.2(1)              0.0~4.0             0.0~0.25             0~1.0             inches
Spacing in address and command                                          4.0                      8.0                    4.0               8.0              mil
signals (minimum)
Spacing to clock signals (minimum)                                      8.0                       20                    8.0               20               mil
Spacing to other group signals                                          8.0                       30                    30                30               mil
(minimum)
Maximum PCB via count                                                                                    6                                                  –
Notes:
1. See item 2 in General Memory Routing Guidelines.
                                      Memory
                                      Controller
                                                                    MAIN
                                                       via                              via               via                          via
                                            P0                L2
                                                              L0      L1       L2             L3                L2            L4
                                      PKG Length         Breakout            Breakout                      Breakout          STUB        RTT = 39Ω
                                                                                                                                       UG583_c2_29_080315
                       Figure 2-59:              Address, Command, and Control Fly-by Termination for RLDRAM 3 Memories
         Table 2-72 shows the RLDRAM 3 memory fly-by impedance, length, and spacing guidelines
         for address, command, and control signals.
Table 2-72: RLDRAM 3 Memory Fly-by Impedance, Length, and Spacing Guidelines for Address,
Command, and Control Signals
Notes:
1. See item 2 in General Memory Routing Guidelines.
VCCO
0.1 µF
                                                                                                                                   36Ω          36Ω
                                                                                                                         STUB
                                                                                                            L2            L3
                                                                                                                                  STUB
                                            Memory
                                            Controller                                                                   L2         L3
                                                                      Breakout    MAIN     Breakout
                                                              via
                                                  P0                    L0        L1          L2           via
                                             PKG Length                                                                   DRAM
                                                                                                                                 Top Layer
                                                              via
                                                  P0                    L0        L1          L2                  via
                                             PKG Length               Breakout    MAIN     Breakout
                                                                                                                          DRAM
                                                                                                                                 Bottom Layer
UG583_c2_30_073014
Table 2-73:                           RLDRAM 3 Memory Clamshell Impedance, Length, and Spacing Guidelines for ck_p/n
Signals
                                                                    L0 (Device                              L2 (DRAM
                              Parameter                             Breakout)       L1 (Main PCB)           Breakout)            L3 (To Term)            Units
Notes:
1. See item 2 in General Memory Routing Guidelines.
                                        Memory
                                        Controller                                                                                   RTT = 36Ω           RTT = 36Ω
                                                                         MAIN            via             via
                                                        via                                                                               via
                                              P0                 L2
                                                                 L0       L1         L2            L3               L2         L4
                                                              Breakout            Breakout                        Breakout    STUB
                                         PKG Length
                                                                         MAIN
                                                        via                               via               via                         via
                                              P0                 L2
                                                                 L0       L1         L2            L3               L2         L4
                                                              Breakout            Breakout                        Breakout    STUB
                                         PKG Length
                                                                                                                                                    UG583_c2_31_080315
Table 2-74: RLDRAM 3 Memory Fly-by Impedance, Length, and Spacing Guidelines for Clock Signals
Notes:
1. See item 2 in General Memory Routing Guidelines.
                                    Memory
                                    Controller
                                                                                                                    via
                                           P0                          L0               L1            L2
                                                          via
                                     PKG Length                       Breakout         MAIN         Breakout
                                                                                                                                 UG583_c2_32_073014
                                         Memory
                                        Controller
                                                                                                                          via
                                                 P0                          L0                L1          L2
                                                                via
                                            PKG Length                      Breakout         MAIN       Breakout
                                                                                                                                UG583_c2_42_101614
       Table 2-75:                  RLDRAM 3 Memory Impedance, Length, and Spacing Guidelines for dk and qk
       Signals
                                                                                  L0 (Device                               L2 (DRAM
                                      Parameter                                   Breakout)         L1 (Main PCB)          Breakout)                  Units
       Table 2-75: RLDRAM 3 Memory Impedance, Length, and Spacing Guidelines for dk and qk
       Signals (Cont’d)
                                                                      L0 (Device                                         L2 (DRAM
                                      Parameter                                           L1 (Main PCB)                                   Units
                                                                      Breakout)                                          Breakout)
            Trace width (nominal)                                             4.0                   6.0                         4.0        mil
            Differential trace width/space/width                      4.0/4.0/4.0            6.0/6.0/6.0                 4.0/4.0/4.0       mil
            Trace length (nominal)                                   0.0~0.8/1.2 (1)            0.0~4.0                       0.0~0.25    inches
            Spacing to other group signals (minimum)                          8.0                   30                           30        mil
            Maximum PCB via count                                                                    2                                      –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
                                              Memory
                                              Controller
                                                                                                                 DRAM
                                                                                MAIN
                                                             via                                          via
                                                    P0               L0             L1     L2
                                              PKG Length           Breakout              Breakout
                                                                                                         UG583_c2_33_073014
       Table 2-76:                  RLDRAM 3 Memory Impedance, Length, and Spacing Guidelines for Data Signals
                                                                       L0 (Device                                         L2 (DRAM
                                      Parameter                                            L1 (Main PCB)                                  Units
                                                                       Breakout)                                          Breakout)
            Trace type                                                    Stripline             Stripline                     Stripline     –
            dq impedance Z 0                                              50±10%                39±10%                        50±10%        Ω
            Trace width (nominal)                                             4.0                   6.0                         4.0        mil
            Trace length (nominal)                                   0.0~0.8/1.2 (1)            0.0~4.0                       0.0~0.25    inches
            Spacing in byte (minimum)                                         4.0                   8.0                         4.0        mil
            Spacing byte to byte (minimum)                                    4.0                   20                          4.0        mil
            dq to dk/qk spacing (minimum)                                     4.0                   20                          8.0        mil
            Spacing to other group signals (minimum)                          8.0                   30                           30        mil
            Maximum PCB via count                                                                    2                                      –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
        Notes:
        1. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
       constraints. When minimum and maximum values are available for the package delay, use the
       midrange between the minimum and maximum values. Memory device package flight times do not
       need to be factored in because their variances have been accounted for in these guidelines.
       IMPORTANT: All guidelines in this section must be followed to achieve the maximum data rates
       specified for the QDR II+ SRAM interface. It is important to read the General Memory Routing
       Guidelines section before continuing with this section.
        Notes:
        1. Actual signal list might vary based on configuration.
                                                                                                             RQ = 250Ω                                         RQ = 250Ω
                                                                                              ZQ                                               ZQ
                                                                                   SRAM #1   ODT                         D         SRAM #2    ODT
                                                                              D
                                                                                           CQ/CQ                                            CQ/CQ
                                                                                               Q                                                Q
                                                                           VT
                                                                              A RPS WPS BWS K K                          A        RPS WPS BWS K K
                                                                             R V     VT                                          VT     VT
                                                                                T
FPGA R R R R
                                  DATA IN1
                                  DATA IN2
                                DATA OUT1
                                DATA OUT2
                                   Address                                                                                       VT
                                                                                                                             R
                                      RPS
                                      WPS
                                      BWS
                             CLKIN1/CLKIN1
                             CLKIN2/CLKIN2
                                  Source K
                                  Source K
VT = VDDQ/2 UG583_c2_34_021115
Figure 2-65: Design Example for QDR II+ SRAM Devices with Width Expansion
                             Figure 2-66 shows a design example for a single QDR II+ SRAM device.
                             X-Ref Target - Figure 2-66
                                                                                                         Q/CQ/CQ# 50Ω
                                                                                                                                 ODT
                                                                                                     D/K/K#/BWS#
                                                                                                                         SRAM
                                                                              FPGA
                                                                                                              CA                               RQ
                                                                                                                                  ZQ
                                                                                                    VT                                     250Ω
                                                                                                         R
                                                                                                                                      UG583_c2_51_012115
Figure 2-66: Design Example for Single QDR II+ SRAM Device
                                     Memory
                                     Controller
                                                                         MAIN
                                                       via                                   via                via                          via
                                           P0                  L2
                                                               L0         L1        L2              L3                L2            L4
                                      PKG Length         Breakout                 Breakout                       Breakout       STUB           RTT = 30Ω
                                                                                                                                             UG583_c2_35_080315
                               Figure 2-67:       Address and Command Fly-by Routing and Termination for QDR II+ SRAM
       Figure 2-68 shows the QDR II+ SRAM address and command point-to-point routing and
       termination.
       X-Ref Target - Figure 2-68
VTT
STUB 39Ω
                                      Memory                                                                                   L2            L4                   via
                                     Controller
                                                                                  MAIN
                                                                                                                                          DRAM
                                           P0                       L0             L1                L2
                                                         via                                                           via
                                                                Breakout                           Breakout
                                      PKG Length
UG583_c2_52_051915
         Figure 2-68:                     Address and Command Point-to-Point Routing and Termination for QDR II+ SRAM
       Table 2-80 shows the QDR II+ SRAM impedance, length, and spacing guidelines for address
       and command signals.
Table 2-80: QDR II+ SRAM Impedance, Length, and Spacing Guidelines for Address and
Command Signals
                                                     L0 (Device                                     L2 (SRAM
            Parameter                                                      L1 (Main PCB)                                   L3 (Main)        L4 (To RTT)           Units
                                                     Breakout)                                      Breakout)
Trace type                                            Stripline                 Stripline            Stripline             Stripline         Stripline                  –
Single-ended impedance Z0                             50±10%                    39±10%               50±10%                50±10%            36±10%                 Ω
Trace width                                              4.0                       6.0                    4.0                 4.0                  7.0              mil
Trace length                                        0.0~0.8/1.2(1)               0.0~3.0             0.0~0.3                0.5~0.8           0.0~0.4             inches
Table 2-80: QDR II+ SRAM Impedance, Length, and Spacing Guidelines for Address and
Command Signals (Cont’d)
                                                          L0 (Device                               L2 (SRAM
              Parameter                                                      L1 (Main PCB)                          L3 (Main)        L4 (To RTT)           Units
                                                          Breakout)                                Breakout)
Spacing in address,                                          4.0                    8.0                    4.0              8.0           8.0               mil
command, and control
signals (minimum)
Spacing to clock signals                                     8.0                     20                    8.0              20            20                mil
(minimum)
Spacing to other group                                       8.0                     30                    30               30            30                mil
signals (minimum)
Maximum PCB via count                                                                                  4                                                     –
Notes:
1. See item 2 in General Memory Routing Guidelines.
L3 L2 SRAM #1
T Section Breakout
                                                                                                                                               VTT
                                           Memory                                                                                  SRAM #2
                                                                                                       L3          L2
                                           Controller                                                                                                39Ω
                                                                             MAIN                  T Section     Breakout
                                                                                                                                                     via
                                                 P0                 L0        L1                  L4
                                                            via                       via
                                             PKG Length           Breakout                       STUB
UG583_c2_48_102414
Figure 2-69: Address and Command T-Branch Routing and Termination for QDR II+ SRAM
Table 2-81:                           Address and Command T-Branch Routing and Termination for QDR II+ SRAM
                                                               L0               L1 (Main          L2 (DRAM L3 (DRAM to
                  Parameter                                  (Device                                                   L4 (to RTT)                         Units
                                                            Breakout)             PCB)            Breakout)   DRAM)
Table 2-81:                           Address and Command T-Branch Routing and Termination for QDR II+ SRAM (Cont’d)
                                                                 L0                 L1 (Main           L2 (DRAM L3 (DRAM to
                  Parameter                                    (Device                                                      L4 (to RTT)                   Units
                                                              Breakout)               PCB)             Breakout)   DRAM)
Notes:
1. See item 2 in General Memory Routing Guidelines.
         QDR II+ SRAM Clock (K, K_B, and BWS) T-Branch Routing and Termination
         It is preferred to have dedicated K and K_B for each SRAM, but if that is not possible, the
         T-branch topology is an option. Figure 2-70 and Table 2-82 define the topology and
         routing guidelines for QDR II+ SRAM clock signals.
         X-Ref Target - Figure 2-70
                                                 Memory
                                                 Controller
                                                                                                                        L2                SRAM #1
                                                                                          MAIN
                                                                                                                      Breakout
                                                       P0                      L0          L1
                                                                       via                       via
                                                   PKG Length                Breakout
                                                                                                                        L2                SRAM #2
                                                                                                                      Breakout
                                                                                                                                    UG583_c2_49_102414
Figure 2-70: Clock (K, K_B) and BWS T-Branch Routing and Termination for QDR II+ SRAM
Table 2-82: Impedance, Length, and Spacing Guidelines for Clock Signals
                                          Parameter                            L0                           L1                      L2                   Units
                                                                       (Device Breakout)                (Main PCB)            (DRAM Breakout)
              Trace type                                                       Stripline                  Stripline                 Microstrip             –
              Single-ended impedance Z0                                         50±10%                    39±10%                     60±10%                Ω
              Routing layers                                                 Upper/Lower                Upper/Lower                Upper/Lower
              Trace width                                                           4.0                         6.0                      4.0              mil
              Trace length                                                   0.0~0.8/1.2 (1)                  <4.0                      <0.7             inches
              Spacing in byte (minimum)                                             4.0                       12.0                       4.0              mil
              Spacing to other group signals                                        8.0                         30                        30              mil
              (minimum)
Table 2-82: Impedance, Length, and Spacing Guidelines for Clock Signals (Cont’d)
                                    Parameter                            L0                              L1                           L2                Units
                                                                 (Device Breakout)                   (Main PCB)                 (DRAM Breakout)
            Maximum PCB via count                                                                           2                                             –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
       QDR II+ SRAM Clock and Data Signals (d/k/k_b) Point-to-Point Routing
       Figure 2-71 shows the QDR II+ SRAM clock and data signals point-to-point routing.
       X-Ref Target - Figure 2-71
                                                    Memory
                                                    Controller
                                                                                             MAIN                                 SRAM
                                                                          via
                                                          P0                      L0            L1          L2            via
                                                     PKG Length                 Breakout                Breakout
                                                                                                                       UG583_c2_37_080414
       QDR II+ SRAM Clock and Data Signals (q/cq/cq_b) Point-to-Point Routing
       Figure 2-72 shows the QDR II+ SRAM clock and data signals point-to-point routing.
       X-Ref Target - Figure 2-72
                                                     Memory
                                                     Controller
                                                      FPGA
                                                                                          MAIN                                  SRAM
                                                                                                                    via
                                                                            L0             L1          L2
                                                                   via    Breakout                   Breakout
UG583_c2_38_101714
       Table 2-83: QDR II+ SRAM Impedance, Length, and Spacing Guidelines for Clock and
       Data Signals
                                                                                L0 (Device                                               L2 (SRAM
                                      Parameter                                 Breakout)              L1 (Main PCB)                     Breakout)       Units
       Table 2-83: QDR II+ SRAM Impedance, Length, and Spacing Guidelines for Clock and
       Data Signals (Cont’d)
                                                      L0 (Device                          L2 (SRAM
                         Parameter                                      L1 (Main PCB)                      Units
                                                      Breakout)                           Breakout)
           Spacing in byte (minimum)                      4.0                8.0              4.0           mil
           Spacing byte to byte (minimum)                 4.0                20               4.0           mil
           CQ_P/N to other spacing                        4.0               12.0              4.0           mil
           K_P/N to other spacing                         4.0               12.0              4.0           mil
           Spacing to other group signals                 8.0                30               30            mil
           (minimum)
           Maximum PCB via count                                              2                              –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
IMPORTANT: Address and data signals must match lengths to each respective QDR II+ SRAM device.
        Notes:
        1. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
       constraints. When minimum and maximum values are available for the package delay, use the
       midrange between the minimum and maximum values. Memory device package flight times do not
       need to be factored in because their variances have been accounted for in these guidelines.
       IMPORTANT: All guidelines in this section must be followed to achieve the maximum data rates
       specified for the QDR-IV SRAM interface. It is important to read the General Memory Routing
       Guidelines section before continuing with this section.
        Notes:
        1. Actual signal list might vary based on configuration.
                                                                        DK
                                                                        DQ
                                                                                                           ZQ = 220Ω
                                                                        QK               QDR-IV
                                                   FPGA                                  SRAM
                                                                        CK
                                                                     ADDR/CMD
UG583_c2_53_041715
                                                      Memory
                                                      Controller
                                                                                       MAIN
                                                                      via                                  via
                                                            P0                L0        L1      L2                 SRAM
                                                      PKG Length            Breakout          Breakout
                                                                                                            UG583_c2_54_041715
Table 2-87:                           QDR-IV SRAM Impedance, Length, and Spacing Guidelines for DQ/Address/Command
Signals
                                                                           L0                     L1                    L2
                                       Parameter                   (Device Breakout)          (Main PCB)          (DRAM Breakout)      Units
Notes:
1. See item 2 in General Memory Routing Guidelines.
                                           Memory
                                           Controller
                                                                      Breakout     MAIN    Breakout
                                                              via                                           via
                                                  P0                    L0          L1        L2
                                            PKG Length
                                                                                                                            SRAM
                                                              via                                           via
                                                  P0                    L0          L1        L2
                                            PKG Length                Breakout     MAIN    Breakout
                                                                                                                  UG583_c2_55_041715
                                         Memory
                                         Controller
                                                                    Breakout     MAIN     Breakout
                                                            via                                           via
                                                P0                    L0           L1       L2
PKG Length
SRAM
                                                            via                                           via
                                                P0                    L0           L1       L2
       Table 2-88:                  QDR-IV SRAM Impedance, Length, and Spacing Guidelines for CK/DK/QK Signals
                                                                       L0                       L1                        L2
                                    Parameter                                                                                       Units
                                                               (Device Breakout)            (Main PCB)              (DRAM Breakout)
            Trace type                                                 Stripline              Stripline                     Stripline      –
            Clock differential impedance ZDIFF                         86±10%                 76±10%                        86±10%         Ω
            Trace width/space/width                                  4.0/4.0/4.0             6.0/6.0/6.0                  4.0/4.0/4.0     mil
            Trace length                                            0.0~0.8/1.2 (1)              0.0~3.0                     0.0~0.1     inches
            Spacing in address, command, and                               8.0                       20                         8.0       mil
            control signals (minimum)
            Spacing to other group signals                                 8.0                       30                          30       mil
            (minimum)
Table 2-88: QDR-IV SRAM Impedance, Length, and Spacing Guidelines for CK/DK/QK Signals
                       Parameter                         L0                     L1                L2        Units
                                                 (Device Breakout)          (Main PCB)      (DRAM Breakout)
           Maximum PCB via count per signal                                     2                                   –
        Notes:
        1. See item 2 in General Memory Routing Guidelines.
        Notes:
        1. For skew specifications, refer to the General Memory Routing Guidelines items 3–8.
       IMPORTANT: FPGA package flight times must be included in both total length constraints and skew
       constraints. When minimum and maximum values are available for the package delay, use the
       midrange between the minimum and maximum values. Memory device package flight times do not
       need to be factored in because their variances have been accounted for in these guidelines.
       VCCINT, VCCINT_IO and VCCBRAM Tied Together (-1E, -1I, -2I, -3E)
       For the -1E, -1I, -2I and -3E speed grades, Xilinx recommends connecting VCCINT, VCCINT_IO,
       and VCCBRAM together because all run at the same voltage (0.85V or 0.90V (-3 only)). PCB
       plane areas are also easier to draw, because V CCINT/VCCINT_IO and VCCBRAM can share the
       same plane design. Plane design for V CCINT/VCCINT_IO/VCCBRAM should be sized in such a
       way as to reliably provide the required amount of current/power with minimal IR drop to
       ensure that the voltage rails meet the data sheet specifications.
       VCCSDFEC
       It is required to connect the V CCSDFEC rail to the plane that contains V CCBRAM. VCCSDFEC and
       VCCBRAM should have separate planes under the RFSoC, which are then merged when
       outside of the RFSoC shadow. Figure 3-1 shows the recommended plane methodology.
       Decoupling capacitors for the V CCSDFEC are described in PCB Decoupling
       Recommendations.
       X-Ref Target - Figure 3-1
         Table 3-1 shows the PCB decoupling guidelines for the programmable logic power rails for
         non-L speed grade RFSoCs. Table 3-2 shows the corresponding PCB decoupling guidelines
         for L speed grade devices. Table 3-3 shows the additional decoupling guidelines for the
         VCCSDFEC and VCCBRAM/V CCINT_IO rails when VCCSDFEC is utilized.
Table 3-1:    Programmable Logic Rail Decoupling Guidelines for Non-L Devices
                                    VCCINT/VCCBRAM/VCCINT_IO               VCCAUX/VCCAUX_IO                    VCCO (1)
             RFSoC
                                 680 µF           100 µF     4.7 µF        47 µF         4.7 µF         47 µF          4.7 µF
   XCZU21DR-FFVD1156                 2              4           7             1              2             1               2
   XCZU25DR-FFVE1156                 2              3           5             1              1             1               2
   XCZU25DR-FSVE1156
   XCZU25DR-FFVG1517                 2              3           5             1              2             1               2
   XCZU25DR-FSVG1517
   XCZU27DR-FFVE1156                 2              4           6             1              1             1               2
   XCZU27DR-FSVE1156
   XCZU27DR-FFVG1517                 2              4           6             1              2             1               2
   XCZU27DR-FSVG1517
   XCZU28DR-FFVE1156                 2              4           6             1              1             1               2
   XCZU28DR-FSVE1156
   XCZU28DR-FFVG1517                 2              4           6             1              2             1               2
   XCZU28DR-FSVG1517
   XCZU29DR-FFVF1760                 2              4           7             1              2             1               2
   XCZU29DR-FSVF1760
Notes:
1. One 47 µF capacitor is required for up to four HP/HR I/O banks when powered by the same voltage.
2. See Table 3-3 and Table 3-4 for extra VCCBRAM/V CCINT_IO decoupling capacitors for when VCCSDFEC is utilized.
Table 3-2:   Programmable Logic Rail Decoupling Guidelines for L Devices (Cont’d)
                                       VCCINT               VCCBRAM/VCCINT_IO VCCAUX/VCCAUX_IO                        VCCO (1)
          RFSoC
                             680 µF 100 µF 4.7 µF            4.7 µF        0.47 µF     47 µF        4.7 µF       47 µF     4.7 µF
  XCZU27DR-FFVE1156             2          3         5          1            5            1           1           1          2
 XCZU27DR-FSVE1156
 XCZU27DR-FFVG1517              2          3         5          1            5            1           2           1          2
 XCZU27DR-FSVG1517
  XCZU28DR-FFVE1156             2          3         5          1            5            1           1           1          2
 XCZU28DR-FSVE1156
 XCZU28DR-FFVG1517              2          3         5          1            5            1           2           1          2
 XCZU28DR-FSVG1517
  XCZU29DR-FFVF1760             2          3         6          1            6            1           3           1          2
  XCZU29DR-FSVF1760
Notes:
1. One 47 µF capacitor is required for up to four HP/HR I/O banks when powered by the same voltage.
2. See Table 3-3 and Table 3-4 for extra VCCBRAM/V CCINT_IO decoupling capacitors for when VCCSDFEC is utilized.
         Table 3-3: Decoupling Capacitor Quantities for VCCSDFEC, plus additional capacitors for
         V CCBRAM/V CCINT_IO
                                         VCCSDFEC                                                 VCCBRAM/VCCINT_IO
             10 µF 0805             4.7 µF 0603                 1.0 µF 0402                          1.0 µF 0402
                  3                       6                            8                                     4
         Notes:
         1. This table only applies for when V CCSDFEC is utilized.
         2. V CCBRAM/V CCINT_IO capacitors are in addition to those in Table 3-1 and Table 3-2.
         3. See Table 3-4 for connection rules for these capacitors.
         Table 3-4 lists the placement rules for the capacitors in Table 3-3. Refer to Figure 3-1 for
         visual reference.
         Table 3-4:    Placement Rules for Additional VCCSDFEC/V CCBRAM/V CCINT_IO Decoupling Capacitors
              Capacitor                                                      Rule
             10 µF 0805        All three next to FPGA footprint near VCCSDFEC and V CCBRAM/V CCINT_IO merge point.
             4.7 µF 0603       All six next to FPGA footprint near V CCSDFEC and V CCBRAM /V CCINT_IO merge point.
             1.0 µF 0402       • Eight connected to VCCSDFEC plane under FPGA shadow.
                               • Two connected under VCCBRAM ball area between via plating holes.
                               • Two connected under VCCINT_IO ball area between via plating holes.
       PCB design guidelines for GTY transceivers can be found in the Board Design Guidelines
       chapter of UltraScale Architecture GTY Transceivers User Guide (UG578) [Ref 7]. The
       guidelines include decoupling capacitors and a design checklist.
                                       Digital-to-Analog
                                             (DAC)
                                        Analog-to-Digital
                                             (ADC)
X18569-121216
       The overall goal of a successful PCB design is to keep the analog portions as isolated as
       possible from both outside electromagnetic (EM) interference and potential on-chip EM
       interference by the digital logic and analog circuits.
       When connecting analog ground and digital ground as one common plane, adhere to the
       following guidelines:
       The Zynq UltraScale+ RFSoC is designed to ensure that all the data converter inputs and
       outputs along with the clock inputs see a 100Ω DC resistance under normal operating
       conditions. The purpose of the balun is to convert the single-ended signal with a typical
       characteristic impedance of 50Ω /75Ω to a differential 100Ω system to meet the RFSoC
       requirements. Customers can either opt for a wire-bound or a stripline balun depending on
       the application requirements. The wire-bound baluns are generally used to generate
       differential signals for low-frequency applications on the order of hundreds of kHz to
       hundreds of MHz while the RF stripline baluns are more suited for GHz applications. The RF
       stripline baluns tend to exhibit a band-pass filter characteristic and thus care should be
       taken to pick a balun with the correct bandwidth requirements that matches the application
       requirements.
        Notes:
        1. CMRR can be relaxed if the system is designed to avoid second harmonic
           distortion (HD2) by frequency planning.
       The RF signal chain for each DAC and ADC, which consists of differential DC blocks,
       differential Pi attenuators, baluns, and filters, fits well on a 4 mm pitch including the RF
       shields which cover the RF signal chain components. The RF shield should be electrically
       attached to ground vias in the PCB at 3 mm spacing (same spacing as the guard stitching
       vias for signal traces). Failure to adhere to this recommendation results in violating crosstalk
       guidelines. While the attachment pitch is nominally 3 mm, each attachment point is allowed
       a tolerance of ±1 mm from its nominal position. To help facilitate attaching the RF shields to
       the PCB in a tight space, Xilinx recommends coating the inside surface of the shield with
       liquid photo-imageable (LPI) solder mask. This avoids potential shorting to components
       located inside the RF shield. If soldering the RF shield to the PCB, Xilinx recommends
       plating the shield with either bright tin, solder plating, or other plating material that can
       bond to the solder. The ground vias to which the RF shield attaches should be through-hole
       vias connected to all inner ground plane layers. An example of this layout can be seen in
       Figure 3-3.
<
                                                        Figure 3-3:   Balun Isolation with GND Stitching and GND Plane
X-Ref Target - Figure 3-4
<
       performance is required, an external RF PLL clock can be provided. Devices such as the
       Texas Instruments LMX259x family can be considered.
       For PLLs that require pull-up resistors, ensure the resistor and decoupling capacitor layouts
       are symmetrical with respect to P and N. It is recommended to put the pull-up resistors and
       the decoupling capacitors for the buffer on the same layer as the RF PLL, typically layer one.
       Figure 3-5 shows a snapshot of a typical layout implementation.
       X-Ref Target - Figure 3-5
X20580-032618
       Table 3-7 and Table 3-8 summarize the signal integrity specifications for DAC/ADC and
       clock pairs (including reference clocks). Refer to the sections below for more details
       regarding each type of specification.
        Notes:
        1. An impedance variation of ±10% itself equals -20 dB.
       Table 3-8:     Signal Integrity Specifications for DAC/ADC Clocks and Reference Clocks
                                                                                                  Measurement
                 Signal Integrity Metrics                         Limit (dB)
                                                                                                 Frequency (GHz)
        Differential NEXT @ RFSoC                      –80 (ADC to DAC; DAC to ADC)                    6.4
        Differential NEXT @ RFSoC                      –70 (ADC to ADC; DAC to DAC)
        Differential Insertion Loss                                  –1.5                              6.4
        Differential Return Loss                   –23 using simulation; –18 after taking              6.4
                                                  into account ±10% variation to nominal
                                                  trace impedance due to manufacturing
                                                                 tolerance
        Common Mode NEXT @ RFSoC                                     –70                               6.4
        Common Mode Return Loss                                      –15                               6.4
        P & N Balance                                   Total = 2000 fs; 1000 fs using                 6.4
                                                   simulation, with an additional 1.0 ps to
                                                    account for manufacturing tolerances
        Notes:
        1. An impedance variation of ±10% itself equals -20 dB.
                            DC coupling is also supported for the ADC and DAC. For DAC DC coupling, there are two
                            constraints. First, the DAC requires the correct effective termination resistance of 100Ω
                            differential. Second, the DAC outputs should be biased at the correct DC common mode
                            point. The DC common mode point is 1.9V for 20 mA mode (2.5V) and 2.1V for 32 mA mode
                            (3.0V) operation.
                            For ADC DC coupling, the ADC VCM output should be coupled with a 100 nF capacitor in
                            package size 0402 or smaller. In addition, the input common-mode level should be taken
                            from the ADC VCM output signal.
                                                                                                           Optional AA filter
                                                          FB+
                                                     RF
                                                RG                                             OUT+ RO
                                                          IN-      -                                                                IN+
                                  + 100Ω                                       +                                                            ADC
                 IQ Demod                                                           OUT_AMP                     Filter
                                  - Differential Input    IN+                                                                       IN-
                                                                               -
                                                                   +
                                                                                               OUT-
                                                RG                                                    RO
                                                     RF
                                                          FB-
X20578-032618
                            Isolation Recommendations
                            Incorporating all the following PCB design techniques results in the highest isolation
                            between traces on the PCB. If significant deviation from the guidelines is planned, Xilinx
                            recommends performing 3D EM analysis of the board structure to verify that performance
                            at the package launch traces is acceptable for the application.
                            Note: Separation between traces is not entirely sufficient to achieve the isolation recommendations
                            listed below. The effect of crosstalk rolls off as 1/(1+1(s/h) 2), where s is trace separation, and h is
       dielectric thickness. This function does not have a steep roll-off, and because space is limited, other
       methods must be used to achieve the recommended isolation.
Table 3-9 lists the isolation recommendations for ADC and DAC pairs.
        Notes:
        1. –80 dB isolation is not required if the clocks are synchronous and at the same frequency.
       •                   Micro vias, 3 dB isolation improvement: Micro vias are preferred over back drilling
                           due to any residual stubbing and the presence of a resonance cavity.
       •                   Shorter vias, 1 dB isolation improvement per 5 mil (0.13 mm) length: Vias are a
                           source of crosstalk, which can be minimized by keeping lengths as short as possible.
       •                   Differential breakout, 3 dB isolation improvement: Differential breakout, as shown
                           in Figure 3-7, is preferred over single-ended breakout. Single-ended breakout crosstalk
                           is highest within 78 mil (2.0 mm) from the package edge and should be avoided, if
                           possible.
       X-Ref Target - Figure 3-7
X19470-070617
X19471-070617
       Figure 3-9 shows closer detail of guard traces and ground stitching vias. Ensure to continue
       ground stitching around the pins of the RFSoC, as shown in Figure 3-10.
       X-Ref Target - Figure 3-9
Guard Traces
P N
                                                                      Signal Traces
                                                                                                                 X19904-121217
X20581-032618
GND GND
        Guard Trace
          (GND)                                                                    H                         H                                Guard Trace
                                                                                                                                                (GND)
                                                                               P                         N
                                                                 2H                           2H                       2H
                                              2H                                                                                        2H
                                                                                   H                         H
                                                            4H                                                                 4H
                             GND                                                                                                                    GND
                                                                                                                                                    X19902-092917
       •     ±1000 fs (1.0 ps) using simulation and taking into account RFSoC package delays and
             PCB trace length mismatch.
       •     ±1000 fs (1.0 ps) due to manufacturing variations.
       Simulations should include a complete channel s-parameter analysis that includes the
       RFSoC package and PCB S-parameter models. The PCB should be deskewed using the
       simulation analysis to meet the 1000 fs specification. You can request the package
       S-parameter models (Table 3-10) for the ADC/DAC channels by talking to your I/O/RF
       specialist.
       •     1086 Mechanically Spread (MS) Glass, 700–900 fs skew per inch: MS glass is spread
             in both directions to achieve greater homogeneity.
       •     NE glass, 400–600 fs per inch: Replacing E-glass with NE glass can provide for further
             skew reduction.
       •                   Image Rotation, 500–100 fs skew per inch: Rotating the image on the PCB panel, as
                           shown in Figure 3-12, can help offset panel x-y non-homogeneity. The recommended
                           rotation is 12 degrees.
       X-Ref Target - Figure 3-12
X19472-070617
       When routing a 180-degree bend of a P/N pair, the minimum bend radius must be 3H. This
       distance allows for a guard trace and ground stitching vias to be placed between the two
       segments of the inner trace, before and after the bend. Ground stitching vias must be
       placed on the guard trace in this inner region with the final ground via placed at the end of
       the guard trace near the signal trace bend. Figure 3-13 shows a properly routed P/N trace
       pair 180-degree bend with guard trace and ground stitching vias.
       X-Ref Target - Figure 3-13
<
       When P/N traces transition layers, two ground vias must be added per signal for impedance
       control, noise isolation, and P/N skew control. The ground vias must be within 1 mm of the
       signal vias. Figure 3-14 illustrates the via configuration for a signal layer transition.
       X-Ref Target - Figure 3-14
<
<
       Inter-Pair Skew
       The skew between separate ADC pairs should not extend beyond ±50 ps. The skew between
       DAC pairs should not extend beyond ±50 ps. Include the package traces when routing.
X20582-032618
Pi Attenuator
                             ADC                                                    R3
                                       100Ω
                                     (internal)   100 nF               R1                              R4               Balun
                                                   0201
R2
X19905-121217
The component descriptions are listed below with recommended part numbers:
Xilinx recommends the following characteristics for the discrete resistive components:
                               For purposes of PCB routing, there should be a minimum of four ground vias for each and
                               every signal via. The length of the vias and trace routing between components should be
                               minimized as much as possible. Placing the Pi pad attenuators underneath cans minimizes
                               crosstalk between them.
                               SYSREF
                               In each Zynq UltraScale+ RFSoC there is one dedicated input SYSREF pin pair located in
                               DAC tile 0. This SYSREF signal is used for multi-tile and multi-chip channel synchronization.
                               This differential input pair is referred to as the Analog_SYSREF. To fully implement the
                               synchronization features in the RFSoC, an additional SYSREF signal is needed to drive into
                               the PL fabric through a pair of PL GPIO pins. This pair is referred to as the PL_SYSREF. It is
                               possible to use the multi-tile sync feature even if not all available tiles in the device are
                               being used. In such an event, DAC tile 0 should be one of the active tiles. ADC tile 0 should
                               also be one of the active tiles if multi-tile sync for the ADCs is a requirement in the
                               application. All DAC tiles are synchronized to DAC tile 0, and all ADC tiles are synchronized
                               to ADC tile 0. That is why tile 0 is required to be active for the respective data converter
                               types.
       Xilinx recommends that the user prioritize the lower indexed tiles over the higher ones if
       not all tiles are to be enabled. For example, if only two tiles are used, tile 0 and tile 1 should
       be enabled, while tile 2 and 3 are disabled. Analog_SYSREF can also be used for
       synchronizing the phase of the digital up converter (DUC) numerically controlled oscillators
       (NCOs) and digital down converter (DDC) NCOs, respectively, in addition to synchronizing
       the overall delay of each tile (done with the MTS function). The NCO synchronization is a
       separate process from the MTS synchronization and only applicable if the fine complex
       mixer is used in the application. Refer to the Multi-Converter Synchronization section in
       Zynq UltraScale+ RFSoC RF Data Converter LogiCORE IP Product Guide (PG269) [Ref 17] for
       the detailed theory of operations and connection diagram.
       If synchronizing ADC and DAC tiles with SYSREF, the frequency must be an integer
       sub-multiple of Equation 3-1.
                                                 DAC SampleRate ADC SampleRate
                                           GCD  ---------------------------------, ---------------------------------              Equation 3-1
                                                              16                                 16                 
       An example calculation for SYSREF is shown below.
Integer Sub – Multiple AND < 10 MHz = 7.68 MHz Equation 3-5
       For full functionality of the synchronization features, the PL_SYSREF should meet the
       following requirements:
       •                   PL_SYSREF must be a sub-multiple of the PL frequencies that interface to the DAC and
                           ADC FIFOs.
       •                   PL_SYSREF and PL clock must meet setup and hold to ensure the PL clock can be used
                           to deterministically capture the rising edge of the PL_SYSREF.
       •                   Analog_SYSREF and PL_SYSREF should be phase aligned at the package pins of the
                           RFSoC.
       •                   The same clock quality (if not the same clock) should be used for the PL_SYSREF as the
                           Analog_SYSREF. Refer to the PL GPIO electrical requirements for coupling, voltage
                           swing, and termination.
       •                   If the MMCM is used to generate the final PL clocks for the DAC FIFO interface and ADC
                           FIFO interface, the 0-delay (0 phase) feature of the MMCM should be used in addition
                           to using flip-flops to synchronize the PL_SYSREF capture as shown in the example
                           below.
       X-Ref Target - Figure 3-18
                                                                                                           user_sysref_adc        clk_adc1
                                    PL_SysRef    PL_sysref_sync                                            user_sysref_dac        clk_adc2
                                                                                                           m0_axis_aresetn        clk_adc3
                                                                                                           m0_axis_aclk           clk_dac0
                                                      MMCM                                                 m1_axis_aresetn        clk_dac1
                                                      (ADC)                                                m1_axis_aclk                 irq
                                                                                                           m2_axis_aresetn
                                                                  ADC_pl_clk                               m2_axis_aclk
                                                                                                           m3_axis_aresetn
                                                                                                           m3_axis_aclk
                                PL_Ref_CLK
                                                                                                           s0_axis_aresetn
                                                      MMCM                                                 s0_axis_aclk
                                                      (DAC)       DAC_pl_clk                               s1_axis_aresetn
                                          BUFG                                                             s1_axis_aclk
X20579-032618
       Calibration Resistors
       Two separate pins exist for ADC and DAC calibration:
       •                   ADC External Resistor: Tie to GND through a 2.49 kΩ resistor as close to the RFSoC pin
                           as possible.
       •                   DAC External Resistor: Tie to GND through a 2.49 kΩ resistor as close to the RFSoC pin
                           as possible.
       Sample Stackup
       Table 3-12 shows a sample stackup for the top five layers of the board. The trace
       dimensions in Table 3-12 are approximate trace geometries based on the sample stackup
       using a typical dielectric thickness between the signal/ground layers to achieve a 100Ω
       differential impedance. Customers are requested to run their own SI analysis to arrive at
       these numbers based on their specific stackup information taking manufacturing tolerances
       into account.
       It is good design practice to use the top routing layers to route the ADC/DAC clock pairs.
       You can use layer 2 for routing the ADC/DAC channels and layer 4 for routing the clock pairs
       to attain the –80 dB NEXT isolation that Xilinx recommends between the DAC to ADC clock
       pairs.
        Notes:
        1. Vendor: Isola Group.
           Product Name: I-Speed.
           Construction/Glass Style: 2 x 1067 Spread LDk.
           Thickness: 5 mil (0.127 mm).
           Resin Content: 74.5%.
           Dielectric constant (DK) 3.26 (@10 GHz).
           Loss Tangent/Dissipation Factor (DF): 0.0055 (@10 GHz).
       IMPORTANT: The guidelines provided as part of this document are for reference only. However, Xilinx
       recommends you do a detailed simulation analysis to validate the various specifications prior to
       releasing the board for fabrication. Xilinx can provide the necessary ADC/DAC S-parameter models for
       the die and package trace extracted using a full 3D field solver to facilitate this analysis. You can use
       these models in any EDA tool like ADS from Keysight to perform a detailed frequency-domain analysis
       to analyze insertion loss, return loss, crosstalk performance, and PN balance, respectively. You can
       request the die package models by talking to your local I/O/RF specialist.
                                          Switching
                                          Regulator
                                                                      VCCINT_AMS
                                        VIN       VOUT
                                                                       VCCBRAM
                                    +
                                                              ...
                                          Switching
                                          Regulator
                                                                            LDO
                                                                                             ADC_AVCC
                                        VIN       VOUT
                                                                      VIN     VOUT
                                    +
                                                                                       ...
LDO
                                                                                             ADC_AVCCAUX
                                                                      VIN     VOUT
                                                                                       ...
LDO
                                                                                             DAC_AVCC
                                                                      VIN     VOUT
                                                                                       ...
LDO
                                                                                             DAC_AVCCAUX
                                                                      VIN     VOUT
                                                                                       ...
LDO
                                                                                             DAC_AVTT
                                                                      VIN     VOUT
                                                                                       ...
X19474-100317
        Notes:
        1. The tolerance percentage is for the switching regulator that feeds the LDO.
        2. Output of the LDO.
        3. DAC_AVTT should be set to 2.5V if used in 20 mA mode, and 3.0V if used in 32 mA mode.
        Notes:
        1. Regulator is assumed to regulate below 500 kHz.
           Decoupling Capacitor Specifications for ADC and DAC Power Supply Rails
           Table 3-16 shows the minimum capacitor specifications for ADC and DAC power rails. The
           capacitor quantities are outlined in the sections below.
Table 3-16: Minimum Capacitor Specifications for ADC & DAC Power Rails
           Note: VCCINT_AMS can connect to the same plane as VCCINT, VCCBRAM, and VCCINT_IO so long as
           all voltage levels are the same and the noise specifications for each individual rail can be adhered to.
           Notes:
           1. See Table 3-16 for capacitor specifications.
Table 3-18:                           Capacitor Quantities for ADC and DAC Rails (Cont’d)
                                           4.7 µF at     2.2 µF at        4.7 µF at    2.2 µF at    0.68 µF at           0.47 µF at
                                           Output of     Output of       Underside of Underside of Underside of         Underside of
                                           Regulator     Regulator          BGA          BGA           BGA                  BGA
DAC_AVTT                                        2            2                0             2                   1               1
Notes:
1. See Table 3-16 for capacitor specifications.
         It is recommended to place a rectangular antipad around each ADC and DAC decoupling
         capacitor on the top and bottom layer, as shown in Figure 3-20.
         X-Ref Target - Figure 3-20
Anti-Pad
X19475-070617
         Voltage Sensing
         To compensate for PCB IR losses, all of the ADC and DAC voltage rails need to properly
         utilize the sense features of their respective regulators, as listed below:
         •                   ADC_AVCC
         •                   DAC_AVCC
         •                   ADC_AVCCAUX
         •                   DAC_AVCCAUX
         •                   DAC_AVTT
         •                   VCCINT_AMS
                             Sense line connections should not use a dedicated spy hole pin on the RFSoC, because all
                             power supply pins should be fully utilized and connected to their respective planes.
                             Instead, a "Force" solution should be utilized, as shown in Figure 3-21.
X-Ref Target - Figure 3-21
                                                                               RPRTC
                                              OUTP      VCCINT_SNS_P                   Sense
                                   10 MΩ
                                                                                                                           RLOAD
                                                        VCCINT_SNS_N                   Sense
                                              OUTN
                                                                               RPRTC                        RPKG
                                   10 MΩ                GND                            Force
                                                                                               RPDN                    DUT Die
X19544-080217
                             Table 3-19:   Leakage Current Values for ADC and DAC Tiles
                                             VCCINT_AMS              ADC/DAC_AVCC                ADC/DAC_AVCCAUX         DAC_AVTT
                                 Tile
                                                (mA)                     (mA)                          (mA)                (mA)
                                 ADC               10                        115                       15                   N/A
                                 DAC              120                         40                       10                   16
       Boot Mode
       •   Connect the boot mode pins to a 4.7 kΩ or lower pull-up resister to VCCO_PSIO[3] or
           pull-down to ground depending on the desired setting.
       •   If multiple switchable boot modes are desired, connect one pull-up/down resistor to
           the mode pin and place a jumper on the other side of the resistor to select between
           pull-up or pull-down.
       •   An easily switchable boot mode configuration is recommended for debug ease-of-use.
       CAN
       •   PCB and package skew between the TX/RX and clock should be within ±100 ps.
       •   A level shifter must be implemented if using a CAN PHY that operates at a voltage
           higher than VCCO_PSIO, e.g., 5.0V.
       DisplayPort
       DisplayPort is a PS-GTR transceiver interface, and rules for PS-GTR transceiver connections
       can be found in PS-GTR Transceiver Interfaces, page 179. In addition, the DP_OE,
       DP_AUX_IN, and DP_AUX_OUT signals need to be connected to a bidirectional LVDS buffer
       like the Fairchild FIN1019MTC. For additional details on connectivity, refer to the VESA
       DisplayPort Standard Version 1, Section 3.4, AUX Channel [Ref 20].
       eMMC
       •   Pull-up resistors are required on data[0-7] per JEDEC specification JESD84-B451
           [Ref 21].
       •   A 30Ω series resistor should be placed on the CLK, CMD, and DATA lines, as close to the
           MIO pins as possible.
       I2C
       •   Place 4.7 kΩ pull-up resistors at the far end of the SCL and SDA lines, furthest from the
           Zynq UltraScale+ MPSoC.
       •   A level-shifter/repeater might be required depending on the particular multiplexers
           used.
       JTAG
       Place 4.7 kΩ pull-up resistors on the TMS, TCK, and TDI lines.
       •   To operate in mode 5 at 100 MHz, PCB and package skew between CLK and DQS should
           be a minimum of 400 ps + 50 ps. CLK should be skewed with respect to DQS by 400 ps.
       •   PCB and package skew between DQ and DQS should be within ±25 ps.
       •   Place 4.7 kΩ pull-up resistors on CE and RB near the NAND device.
       PCIe
       PCIe is a PS-GTR transceiver interface, and rules for PS-GTR transceiver connections can be
       found in PS-GTR Transceiver Interfaces, page 179.
           °   PS_INIT_B is open drain and should not be driven during logic built-in self test
               (LBIST).
       •   Connect PS_PROG_B to a 4.7 kΩ pull-up resistor to VCCO_PSIO[3].
       PS Reference Clock
       PS_REF_CLK is a single-ended LVCMOS signal.
       QSPI
       •   The clock, data, and SS lines are recommended to have matched lengths to facilitate
           meeting setup and hold times.
       •   PCB and package delay skew for I/O[3:0] and SS lines relative to CLK should be within
           ±50 ps.
       •   Keeping the clock and data lines equal provides greater immunity to undesirable setup
           and hold time effects.
       •   It is highly recommended to perform a signal integrity analysis on the clock line at the
           near (close to the Zynq UltraScale+ MPSoC) and far ends.
       •   For optimum performance, limit trace delays to less than 175 ps.
       •   Place 4.7 kΩ pull-up resistors on the HOLD, WP, and CS lines.
       •   Keep MIO[6] unconnected for higher FQSPICLK1 or FQSPICLK2 operating frequencies
           (>40 MHz). This allows the loopback feature to work properly.
       Real-Time Clock
       •   See the PS RTC Crystal Requirements table in Zynq UltraScale+ MPSoC Data Sheet: DC
           and AC Switching Characteristics (DS925) for full specifications.
       •   If the real-time clock is not being used, connect PADI to ground and leave PADO
           floating.
       SATA
       SATA is a PS-GTR transceiver interface, and rules for PS-GTR transceiver connections can be
       found in PS-GTR Transceiver Interfaces, page 179.
       SD/SDIO
       •   A 30Ω series resistor should be placed on the CLK, CMD, and DATA lines, as close to the
           MIO pins as possible.
       •   A level shifter might be required depending on the particular voltages used on the
           Zynq UltraScale+ MPSoC and SD chip.
       •   Asynchronous signals CDn and WPn have no timing relationship to CLK.
       •   The CDn and WPn lines should both be pulled up with their own 4.7 kΩ resistors to the
           MIO I/O voltage. When using Micro-SD, WPn and CDn can be no connects.
       •   A 10 kΩ pull-up resistor should be added to DAT3 on the SD card side of the level
           shifter.
       SPI
       •   PCB and package skew between the master-output, slave-input (MOSI)/master-input,
           slave-output (MISO) and clock should be within ±100 ps.
       •   Place a 4.7 kΩ pull-up resistor on the SS pin near the serial peripheral interface (SPI)
           device.
       UART
       •   Keep MIO trace delays below 1.30 ns.
       USB 2.0
       ULPI Interface (60 MHz)
       •   PCB and package delays should be kept to 1.30 ns or below.
       •   PCB and package delay skews for DATA[7:0]/DIR/NXT/STP and CLK should be within
           ±100 ps.
       •   For optimum signal integrity, add a 30Ω series resistor to the DATA and STP lines near
           the Zynq UltraScale+ MPSoC.
       USB 3.0
       USB 3.0 is a PS-GTR transceiver interface, and rules for PS-GTR transceiver connections can
       be found in PS-GTR Transceiver Interfaces, page 179.
       Watchdog Timer
       PCB and package skews between WAVE_OUT and CLK should be within ±100 ps.
• DisplayPort
       •                   Ethernet SGMII
       •                   PCIe
       •                   SATA
       •                   USB3.0
0.85 VDC
                                                                          PS_MGTRAVCC
                                                                                                    1.8 VDC
                                                                          PS_MGTRAVTT
                                                                           PS_MGTRREF
                                                                                                              500Ω
                                                                                                              0.5%
                                                                                                       UG583_08_18_031816
                                   Figure 4-1:   PS-GTR External Power Supply and Calibration Resistor Connections
       Note relevant to Figure 4-1:
       •                   The voltage values are nominal. See the Zynq UltraScale+ MPSoC Data Sheet: DC and
                           AC Switching Characteristics (DS925) [Ref 22] for values and tolerances.
                            Reference Clock
                            Overview
                            This section focuses on the selection of the reference clock source or oscillator. An oscillator
                            is characterized by:
                            •                   Frequency range
                            •                   Output voltage swing
                            •                   Jitter (deterministic, random, peak-to-peak)
                            •                   Rise and fall times
                            •                   Supply voltage and current
                            •                   Noise specification
                            •                   Duty cycle and duty-cycle tolerance
                            •                   Frequency stability
                            These characteristics are selection criteria when choosing an oscillator for PS-GTR
                            transceiver design. Figure 4-2 illustrates the convention for the single-ended clock input
                            voltage swing, peak-to-peak, as used in the PS-GTR transceiver portion of the Zynq
                            UltraScale+ MPSoC Data Sheet: DC and AC Switching Characteristics (DS925) [Ref 22]. This
                            figure is provided to show the contrast to the differential clock input voltage swing
                            calculation shown in Figure 4-3.
X-Ref Target - Figure 4-2
+V MGTREFCLKP
                                                                                                                               Single-Ended Voltage
                            MGTREFCLKN
                     0
                                                                                                                                         UG583_08_19_031716
+V MGTREFCLKP – MGTREFCLKN
0 VIDIFF
                                                        -V
                                                                                                                                  UG583_08_20_031816
                                                                   TRCLK
                                    80%
                                    20%
                                          TFCLK
                                                                                                       UG583_08_21_031716
                                   MGTREFCLKN
                                                                                                          UG583_08_22_041516
       Figure 4-6 shows how an LVDS, current mode logic (CML), or high-speed current steering
       logic (HCSL) oscillator is connected to a reference clock input of the PS-GTR transceiver.
       X-Ref Target - Figure 4-6
                                                                                                        Internal to
                                                                                                 Zynq UltraScale+ MPSoC
                                                                         0.01 µF
                                                                         0.01 µF
                                   LVDS, CML, or HCSL                                               GTR Transceiver
                                        Oscillator                                                  Reference Clock
                                                                                                      Input Buffer
                                                                                                            UG583_08_23_031816
       Figure 4-6:                       Interfacing an LVDS, CML, or HCSL Oscillator to the Zynq UltraScale+ MPSoC PS-GTR
                                                           Transceiver Reference Clock Input
       LVPECL
       Figure 4-7 shows how a low-voltage positive emitter-coupled logic (LVPECL) oscillator is
       connected to a reference clock input of the PS-GTR transceiver.
       X-Ref Target - Figure 4-7
                                                                                                      Internal to Zynq
                                                                                                    UltraScale+ MPSoC
                                                                          0.01 µF
240Ω
                                                               240Ω
                                                                          0.01 µF
                                    LVPECL Oscillator                                                GTR Transceiver
                                                                                                     Reference Clock
                                                                                                       Input Buffer
                                                                                                          UG583_08_24_041416
        Figure 4-7:                      Interfacing an LVPECL Oscillator to the Zynq UltraScale+ MPSoC PS-GTR Transceiver
                                                                 Reference Clock Input
       •   Blocking a DC current between the oscillator and the PS-GTR transceiver reference
           clock dedicated reference clock input pins (which also reduces power consumption of
           both parts)
       •   Common-mode voltage independence
       •   The AC coupling capacitor forms a high-pass filter with the on-chip termination that
           attenuates a wander of the reference clock
       To minimize noise and power consumption, external AC coupling capacitors between the
       sourcing oscillator and the PS-GTR transceiver dedicated reference clock input pins are
       required.
       Examples
       Figure 5-1 shows a snippet of the Footprint Compatibility table from UltraScale and
       UltraScale+ FPGAs Packaging and Pinouts Product Specification (UG575). The XCKU035 in
       the A1156 (1) package can only be compatible with the XCKU040 and XCKU060 in the A1156
       package, but not with any of the devices in the A1517. A design created with the XCKU035
       in the A1156 package, for example, can be footprint compatible with any bigger A1156
       device.
       X-Ref Target - Figure 5-1
UG583_c3_14_022515
       1. For purposes of understanding footprint compatibility and migration, only the last letter in the package name is relevant.
          For example, the FFVA2104 and FLVA2104 are essentially the same as far as footprint compatibility and migration due to
          the last letter "A" being the same.
                            If migrating from a bigger device to a smaller device within the same package, there exists
                            the potential for some I/O and transceiver banks to not be bonded out or present on the
                            smaller device. Figure 5-2 shows a snippet of the Transceiver Quad Migration table from
                            UltraScale and UltraScale+ FPGAs Packaging and Pinouts Product Specification (UG575).
                            Transceiver banks 120, 121, 122, 220, 221, and 222 are present on the XCVU160 and
                            XCVU190 C2104 devices, but those transceiver banks are not available on the XCVU080,
                            XCVU095, and XCVU125 C2104 devices.
X-Ref Target - Figure 5-2
UG583_c3_15_022515
                            2. Package Dimensions
                            Package dimensions for UltraScale devices can vary from one package to another, so care
                            must be taken in system design to ensure that changes in length, width, or height do not
                            interfere with other components in the system. Some packages are also “overhang”
                            packages in that their lengths and widths extend further beyond the pin array than other
                            devices with similar pin counts. If migrating from a smaller package to a bigger package,
                            ensure that an appropriate keep-out area is in place so that no capacitors or other
                            components interfere with the bigger outline. Refer to the Mechanical Drawings chapter in
                            UltraScale and UltraScale+ FPGAs Packaging and Pinouts Product Specification (UG575)
                            [Ref 9] for the dimensions of the various UltraScale device packages.
                            Example
                            According to the Mechanical Drawings chapter in UltraScale and UltraScale+ FPGAs
                            Packaging and Pinouts Product Specification (UG575), the FFVA1156 package used for the
                            XCKU035 and XCKU040 (Figure 5-3) has a smaller height than the FFVA1156 package used
                            for the XCKU060 (Figure 5-4).
UG583_c3_16_022515
Figure 5-3: Portion of Package Drawing for FFVA1156 (XCKU035 and XCKU040)
UG583_c3_17_022515
       Refer to the I/O Bank Migration and Transceiver Quad Migration tables in UltraScale and
       UltraScale+ FPGAs Packaging and Pinouts Product Specification (UG575) [Ref 9] for the bank
       and transceiver Quad numbering differences. To determine bank locations on a die, refer to
       the Die Level Bank Numbering Overview section of UltraScale and UltraScale+ FPGAs
       Packaging and Pinouts Product Specification (UG575).
       The example below shows a successful migration path despite both bank number changes
       and banks being in different columns between the two different devices.
UG583_c3_18_022515
UG583_c3_19_022515
                            4. HP/HR Migration
                            In a small number of cases, an HR bank in one device might become an HP bank in an
                            otherwise footprint-compatible package, and vice-versa. If migration is desired at the initial
                            design stage, it is advisable to use only 1.8V I/O standards so that any move from HR to HP
                            banks is not affected. If this is not possible, avoid HR banks that can become HP banks.
                            Refer to the I/O Bank Migration table in UltraScale and UltraScale+ FPGAs Packaging and
                            Pinouts Product Specification (UG575) to determine which banks could be affected, or refer
                            to Table 5-1.
                            In addition, there are functional differences between HP and HR I/Os, such as whether DCI
                            is available (HP only) and I/O standard availability. Refer to the Supported Features in the
                            HR and HP I/O Banks table in UltraScale Architecture SelectIO Resources User Guide (UG571)
                            [Ref 10] to determine the capabilities and I/O standards available with each type of I/O.
                            When it is not possible to avoid migrating from an HR bank to an HP bank, the new HP I/Os
                            might need to be level translated due to their maximum 1.8V output. Refer to Interfacing
                            7 Series FPGAs High-Performance I/O Banks with 2.5V and 3.3V I/O Standards (XAPP520)
                            [Ref 11], which gives many methodologies for interfacing HP I/Os with higher voltage I/Os.
                            than GTH transceivers, and thus have different operating characteristics. For example, GTY
                            transceivers are capable of supporting line rates up to 30.5 Gb/s, while GTH transceivers can
                            support up to 16.375 Gb/s. If moving to/from GTH/GTY transceivers, these differences and
                            any others must be accounted for. Refer to Virtex UltraScale FPGAs Data Sheet: DC and AC
                            Switching Characteristics (DS893) [Ref 2], UltraScale Architecture GTH Transceivers User
                            Guide (UG576) [Ref 6], and UltraScale Architecture GTY Transceivers User Guide (UG578)
                            [Ref 7] to learn more about the capabilities of each type of transceiver.
                            To determine if a migration path will result in any swapping between GTH and GTY
                            transceivers (or vice versa), refer to the Transceiver Quad Migration table in UltraScale and
                            UltraScale+ FPGAs Packaging and Pinouts Product Specification (UG575) [Ref 9].
                            Example
                            Figure 5-7 shows a snippet of the Transceiver Quad Migration table from UltraScale and
                            UltraScale+ FPGAs Packaging and Pinouts Product Specification (UG575). Transceiver banks
                            126, 127, 128, 131, 132, and 133 are shown as GTH transceivers in the XCKU115 A2104
                            device, while the corresponding transceiver banks are GTY transceivers in the XCVU080,
                            XCVU095, and XCVU125 A2104 devices. Note how the transceiver numbers are different,
                            indicating that these are different transceiver Quads that bond to the same pins (see 3. I/O
                            Bank and Transceiver Quad Numbers, page 192).
X-Ref Target - Figure 5-7
UG583_c3_20_022515
                            6. SLR Migration
                            Some UltraScale devices are implemented with stacked silicon interconnect (SSI)
                            technology, and certain implementations can only be contained within one SLR. SLRs are
                            divided by a passive silicon interposer. Migrations that span multiple SLRs are not
                            recommended. For example, DDR4 interfaces running at the maximum data rate must be
       contained within one SLR. See 8. Memory Interface Migration, page 197 for a specific
       example of where the interposer can break an existing implementation.
       The bank drawings in UltraScale and UltraScale+ FPGAs Packaging and Pinouts Product
       Specification (UG575) identify SLRs connected by interposers.
       Example
       Figure 5-8 shows three SLRs for the XCVU190 device, each separated from the next by a
       silicon interposer.
       X-Ref Target - Figure 5-8
                                                                  PLL[28:29]            PLL[58:59]
                                   GTY Quad 133 CMAC Bank 53 CMT Bank 73 CMT PCIe GTH Quad 233
                                   X0Y56−X0Y59 X0Y8 HP I/O MMCM[14] HP I/O MMCM[29] X0Y5 X1Y56−X1Y59
                                                                  PLL[26:27]            PLL[56:57]
                                   GTY Quad 132 CMAC Bank 52 CMT Bank 72 CMT ILKN GTH Quad 232
                                   X0Y52−X0Y55 X0Y7 HP I/O MMCM[13] HP I/O MMCM[28] X1Y8 X1Y52−X1Y55
                                                                  PLL[24:25]            PLL[54:55]
                                   GTY Quad 131   ILKN Bank 51 CMT Bank 71 CMT                       SYSMON     GTH Quad 231
                                   X0Y48−X0Y51    X0Y7 HP I/O MMCM[12] HP I/O MMCM[27]                 CFG      X1Y48−X1Y51
                                                                  PLL[22:23]            PLL[52:53]
                                   GTY Quad 130 CMAC Bank 50 CMT Bank 70 CMT CFG                                GTH Quad 230
                                   X0Y44−X0Y47 X0Y6 HP I/O MMCM[11] HP I/O MMCM[26]                             X1Y44−X1Y47
                                                                  PLL[20:21]            PLL[50:51]
                                   GTY Quad 129   ILKN Bank 49 CMT Bank 69 CMT PCIe GTH Quad 229
                                   X0Y40−X0Y43    X0Y6 HP I/O MMCM[10] HP I/O MMCM[25] X0Y4 X1Y40−X1Y43
                                                                    SLR Crossing
                                                                  PLL[18:19]            PLL[48:49]
                                   GTY Quad 128 CMAC Bank 48 CMT Bank 68 CMT PCIe GTH Quad 228
                                   X0Y36−X0Y39 X0Y5 HP I/O MMCM[09] HP I/O MMCM[24] X0Y3 X1Y36−X1Y39
                                                                  PLL[16:17]            PLL[46:47]
                                   GTY Quad 127 CMAC Bank 47 CMT Bank 67 CMT ILKN GTH Quad 227
                                   X0Y32−X0Y35 X0Y4 HP I/O MMCM[08] HP I/O MMCM[23] X1Y5 X1Y32−X1Y35
                                                                  PLL[14:15]            PLL[44:45]
                                   GTY Quad 126   ILKN   Bank 46 CMT Bank 66 CMT                     SYSMON     GTH Quad 226
                                   X0Y28−X0Y31    X0Y4   HP I/O MMCM[07] HP I/O MMCM[22]               CFG      X1Y28−X1Y31
                                                                  PLL[12:13]            PLL[42:43]
                                   GTY Quad 125 CMAC Bank 45 CMT Bank 65 CMT CFG                                GTH Quad 225
                                   X0Y24−X0Y27 X0Y3 HP I/O MMCM[06] HP I/O MMCM[21]                             X1Y24−X1Y27
                                                                  PLL[10:11]    Bank    PLL[40:41]      PCIe
                                   GTY Quad 124   ILKN   Bank 44 CMT           84/94    CMT            X0Y2     GTH Quad 224
                                   X0Y20−X0Y23    X0Y3   HP I/O MMCM[05]       HR I/O   MMCM[20]     (tandem)   X1Y20−X1Y23
                                                                    SLR Crossing
                                                                  PLL[08:09]            PLL[38:39]
                                   GTY Quad 123 CMAC Bank 43 CMT Bank 63 CMT PCIe GTH Quad 223
                                   X0Y16−X0Y19 X0Y2 HP I/O MMCM[04] HP I/O MMCM[19] X0Y1 X1Y16−X1Y19
                                                                  PLL[06:07]            PLL[36:37]
                                   GTY Quad 122 CMAC Bank 42 CMT Bank 62 CMT ILKN GTH Quad 222
                                   X0Y12−X0Y15 X0Y1 HP I/O MMCM[03] HP I/O MMCM[18] X1Y2 X1Y12−X1Y15
                                                                  PLL[04:05]            PLL[34:35]
                                   GTY Quad 121   ILKN   Bank 41 CMT Bank 61 CMT                     SYSMON     GTH Quad 221
                                   X0Y8−X0Y11     X0Y1   HP I/O MMCM[02] HP I/O MMCM[17]               CFG       X1Y8−X1Y11
                                                                  PLL[02:03]            PLL[32:33]
                                   GTY Quad 120 CMAC Bank 40 CMT Bank 60 CMT CFG                                GTH Quad 220
                                    X0Y4−X0Y7   X0Y0 HP I/O MMCM[01] HP I/O MMCM[16]                             X1Y4−X1Y7
                                                                  PLL[00:01]            PLL[30:31]
                                   GTY Quad 119   ILKN   Bank 39 CMT Bank 59 CMT PCIe GTH Quad 219
                                    X0Y0−X0Y3     X0Y0   HP I/O MMCM[00] HP I/O MMCM[15] X0Y0 X1Y0−X1Y3
                                                                                                                   UG583_c3_21_022515
                                                                            PLL[12:13]      PLL[22:23]
                                            GTH Quad 128           Bank 48 CMT Bank 68 CMT PCIe                     GTH Quad 228
                                            X0Y16−X0Y19            HP I/O MMCM[06] HP I/O MMCM[11] X0Y1             X1Y16−X1Y19
                                                                            PLL[10:11]      PLL[20:21]
                                            GTH Quad 127           Bank 47 CMT Bank 67 CMT CMAC GTH Quad 227
                                            X0Y12−X0Y15            HP I/O MMCM[05] HP I/O MMCM[10] X0Y0 X1Y12−X1Y15
                                                                            PLL[08:09]      PLL[18:19]
                                            GTH Quad 126           Bank 46 CMT Bank 66 CMT               SYSMON     GTH Quad 226
                                             X0Y8−X0Y11            HP I/O MMCM[04] HP I/O MMCM[09]         CFG       X1Y8−X1Y11
                                                      PLL[02:03]            PLL[06:07]      PLL[16:17]
                                             Bank 25 CMT Bank 45 CMT Bank 65 CMT CFG                                GTH Quad 225
                                             HP I/O MMCM[01] HP I/O MMCM[03] HR I/O MMCM[08]                         X1Y4−X1Y7
                                                      PLL[00:01]            PLL[04:05]      PLL[14:15]
                                                                                                            PCIe
                                             Bank 24 CMT Bank 44 CMT Bank 64 CMT                           X0Y0
                                                                                                                    GTH Quad 224
                                             HP I/O MMCM[00] HP I/O MMCM[02] HR I/O MMCM[07]             (tandem)    X1Y0−X1Y3
                                                                                                                        UG583_c3_22_022515
Figure 5-9: Bank Drawing for XCKU060 Showing Multifunction Configuration Bank 65
                                                                   PLL[26:27]              PLL[46:47]
                                    GTH Quad 133          Bank 53 CMT Bank 73 CMT PCIe                             GTH Quad 233
                                    X0Y36−X0Y39           HP I/O MMCM[13] HP I/O MMCM[23] X0Y3                     X1Y36−X1Y39
                                                                   PLL[24:25]              PLL[44:45]
                                    GTH Quad 132          Bank 52 CMT Bank 72 CMT CMAC GTH Quad 232
                                    X0Y32−X0Y35           HP I/O MMCM[12] HP I/O MMCM[22] X0Y1 X1Y32−X1Y35
                                                                   PLL[22:23]              PLL[42:43]
                                    GTH Quad 131          Bank 51 CMT Bank 71 CMT                       SYSMON     GTH Quad 231
                                    X0Y28−X0Y31           HP I/O MMCM[11] HP I/O MMCM[21]                 CFG      X1Y28−X1Y31
                                             PLL[06:07]            PLL[20:21]              PLL[40:41]
                                    Bank 30 CMT Bank 50 CMT Bank 70 CMT                                  CFG       GTH Quad 230
                                    HP I/O MMCM[03] HP I/O MMCM[10] HR I/O MMCM[20]                                X1Y24−X1Y27
                                             PLL[04:05]            PLL[18:19]              PLL[38:39]
                                    Bank 29 CMT Bank 49 CMT Bank 69 CMT PCIe                                       GTH Quad 229
                                    HP I/O MMCM[02] HP I/O MMCM[09] HR I/O MMCM[19] X0Y2                           X1Y20−X1Y23
                                                                            SLR Crossing
                                                                   PLL[16:17]              PLL[36:37]
                                    GTH Quad 128          Bank 48 CMT Bank 68 CMT PCIe                             GTH Quad 228
                                    X0Y16−X0Y19           HP I/O MMCM[08] HP I/O MMCM[18] X0Y1                     X1Y16−X1Y19
                                                                   PLL[14:15]              PLL[34:35]
                                    GTH Quad 127          Bank 47 CMT Bank 67 CMT CMAC GTH Quad 227
                                    X0Y12−X0Y15           HP I/O MMCM[07] HP I/O MMCM[17] X0Y0 X1Y12−X1Y15
                                                                   PLL[12:13]              PLL[32:33]
                                    GTH Quad 126          Bank 46 CMT Bank 66 CMT                       SYSMON     GTH Quad 226
                                     X0Y8−X0Y11           HP I/O MMCM[06] HP I/O MMCM[16]                 CFG       X1Y8−X1Y11
                                             PLL[02:03]            PLL[10:11]              PLL[30:31]
                                    Bank 25 CMT Bank 45 CMT Bank 65 CMT                                            GTH Quad 225
                                                                                                         CFG
                                    HP I/O MMCM[01] HP I/O MMCM[05] HR I/O MMCM[15]                                 X1Y4−X1Y7
                                             PLL[00:01]            PLL[08:09]              PLL[28:29]      PCIe
                                    Bank 24 CMT Bank 44 CMT Bank 64 CMT                                   X0Y0     GTH Quad 224
                                    HP I/O MMCM[00] HP I/O MMCM[04] HR I/O MMCM[14]                     (tandem)    X1Y0−X1Y3
                                                                                                                      UG583_c3_23_022515
             Figure 5-10:           Bank Drawing for XCKU115 Showing Multifunction Configuration Bank 65, along
                                                            with Bank 70
       Example
       A memory interface in the XCVU095 that spans banks 47, 48, and 49 (Figure 5-11) would
       NOT migrate over to the XCVU125 because banks 48 and 49 are separated by an SLR in the
       XCVU125 (Figure 5-12). A better option for the memory interface would be banks 44, 45,
       and 46, because both banks remain contiguous on both devices.
                                                                         PLL[14:15]            PLL[30:31]
                                         GTY Quad 131 CMAC Bank 51 CMT Bank 71 CMT PCIe                                GTH Quad 231
                                         X0Y28−X0Y31 X0Y3 HP I/O MMCM[07] HP I/O MMCM[15] X0Y3                         X1Y28−X1Y31
                                                                         PLL[12:13]            PLL[28:29]
                                         GTY Quad 130    ILKN   Bank 50 CMT Bank 70 CMT ILKN                           GTH Quad 230
                                         X0Y24−X0Y27     X0Y4   HP I/O MMCM[06] HP I/O MMCM[14] X1Y4                   X1Y24−X1Y27
                                                                         PLL[10:11]            PLL[26:27]
                                         GTY Quad 129 CMAC Bank 49 CMT Bank 69 CMT PCIe                                GTH Quad 229
                                         X0Y20−X0Y23 X0Y2 HP I/O MMCM[05] HP I/O MMCM[13] X0Y2                         X1Y20−X1Y23
                                                                         PLL[08:09]            PLL[24:25]
                                         GTY Quad 128    ILKN   Bank 48 CMT Bank 68 CMT PCIe                           GTH Quad 228
                                         X0Y16−X0Y19     X0Y3   HP I/O MMCM[04] HP I/O MMCM[12] X0Y1                   X1Y16−X1Y19
                                                                         PLL[06:07]            PLL[22:23]
                                         GTY Quad 127 CMAC Bank 47 CMT Bank 67 CMT ILKN                                GTH Quad 227
                                         X0Y12−X0Y15 X0Y1 HP I/O MMCM[03] HP I/O MMCM[11] X1Y2                         X1Y12−X1Y15
                                                                         PLL[04:05]            PLL[20:21]
                                         GTY Quad 126    ILKN   Bank 46 CMT Bank 66 CMT                     SYSMON     GTH Quad 226
                                         X0Y8−X0Y11      X0Y1   HP I/O MMCM[02] HP I/O MMCM[10]               CFG       X1Y8−X1Y11
                                                                         PLL[02:03]            PLL[18:19]
                                         GTY Quad 125 CMAC Bank 45 CMT Bank 65 CMT                                     GTH Quad 225
                                                                                                             CFG
                                          X0Y4−X0Y7   X0Y0 HP I/O MMCM[01] HP I/O MMCM[09]                              X1Y4−X1Y7
                                                                         PLL[00:01]    Bank    PLL[16:17]
                                                                                                               PCIe
                                         GTY Quad 124    ILKN   Bank 44 CMT           84/94    CMT            X0Y0
                                                                                                                       GTH Quad 224
                                          X0Y0−X0Y3      X0Y0   HP I/O MMCM[00]       HR I/O   MMCM[08]     (tandem)    X1Y0−X1Y3
                                                                                                                          UG583_c3_24_022515
                                    Figure 5-11:   Bank Drawing of XCVU095 Showing Consecutive Banks 47, 48, and 49
       X-Ref Target - Figure 5-12
                                                                         PLL[18:19]            PLL[38:39]
                                         GTY Quad 133 CMAC Bank 53 CMT Bank 73 CMT PCIe                                GTH Quad 233
                                         X0Y36−X0Y39 X0Y5 HP I/O MMCM[09] HP I/O MMCM[19] X0Y3                         X1Y36−X1Y39
                                                                         PLL[16:17]            PLL[36:37]
                                         GTY Quad 132 CMAC Bank 52 CMT Bank 72 CMT ILKN                                GTH Quad 232
                                         X0Y32−X0Y35 X0Y4 HP I/O MMCM[08] HP I/O MMCM[18] X1Y5                         X1Y32−X1Y35
                                                                         PLL[14:15]            PLL[34:35]
                                         GTY Quad 131    ILKN   Bank 51 CMT Bank 71 CMT                     SYSMON     GTH Quad 231
                                         X0Y28−X0Y31     X0Y4   HP I/O MMCM[07] HP I/O MMCM[17]               CFG      X1Y28−X1Y31
                                                                         PLL[12:13]            PLL[32:33]
                                         GTY Quad 130 CMAC Bank 50 CMT Bank 70 CMT                                     GTH Quad 230
                                                                                                             CFG
                                         X0Y24−X0Y27 X0Y3 HP I/O MMCM[06] HP I/O MMCM[16]                              X1Y24−X1Y27
                                                                         PLL[10:11]            PLL[30:31]
                                         GTY Quad 129    ILKN   Bank 49 CMT Bank 69 CMT PCIe                           GTH Quad 229
                                         X0Y20−X0Y23     X0Y3   HP I/O MMCM[05] HR I/O MMCM[15] X0Y2                   X1Y20−X1Y23
                                                                           SLR Crossing
                                                                         PLL[08:09]            PLL[28:29]
                                         GTY Quad 128 CMAC Bank 48 CMT Bank 68 CMT PCIe                                GTH Quad 228
                                         X0Y16−X0Y19 X0Y2 HP I/O MMCM[04] HP I/O MMCM[14] X0Y1                         X1Y16−X1Y19
                                                                         PLL[06:07]            PLL[26:27]
                                         GTY Quad 127 CMAC Bank 47 CMT Bank 67 CMT ILKN                                GTH Quad 227
                                         X0Y12−X0Y15 X0Y1 HP I/O MMCM[03] HP I/O MMCM[13] X1Y2                         X1Y12−X1Y15
                                                                         PLL[04:05]            PLL[24:25]
                                         GTY Quad 126    ILKN   Bank 46 CMT Bank 66 CMT                     SYSMON     GTH Quad 226
                                         X0Y8−X0Y11      X0Y1   HP I/O MMCM[02] HP I/O MMCM[12]               CFG       X1Y8−X1Y11
                                                                         PLL[02:03]            PLL[22:23]
                                         GTY Quad 125 CMAC Bank 45 CMT Bank 65 CMT                                     GTH Quad 225
                                                                                                             CFG
                                          X0Y4−X0Y7   X0Y0 HP I/O MMCM[01] HP I/O MMCM[11]                              X1Y4−X1Y7
                                                                         PLL[00:01]    Bank    PLL[20:21]
                                         GTY Quad 124    ILKN   Bank 44 CMT           84/94    CMT           PCIe      GTH Quad 224
                                          X0Y0−X0Y3      X0Y0   HP I/O MMCM[00]       HR I/O   MMCM[10]
                                                                                                             X0Y0       X1Y0−X1Y3
                                                                                                                          UG583_c3_25_022515
Figure 5-12: Bank Drawing of XCVU125 Showing SLR between Banks 47, 48, and 49
       Example
       Figure 5-13 highlights an HPIO column in the XCVU095. DCI cascade or internal V REF can be
       used in this column among some or all of these banks. If migrating to the XCVU125 device,
       the interposer does not allow DCI cascade or internal VREF to cross between banks 48 and
       49, as shown in Figure 5-14.
       X-Ref Target - Figure 5-13
                                                                    PLL[14:15]            PLL[30:31]
                                    GTY Quad 131 CMAC Bank 51 CMT Bank 71 CMT PCIe                                GTH Quad 231
                                    X0Y28−X0Y31 X0Y3 HP I/O MMCM[07] HP I/O MMCM[15] X0Y3                         X1Y28−X1Y31
                                                                    PLL[12:13]            PLL[28:29]
                                    GTY Quad 130    ILKN   Bank 50 CMT Bank 70 CMT ILKN                           GTH Quad 230
                                    X0Y24−X0Y27     X0Y4   HP I/O MMCM[06] HP I/O MMCM[14] X1Y4                   X1Y24−X1Y27
                                                                    PLL[10:11]            PLL[26:27]
                                    GTY Quad 129 CMAC Bank 49 CMT Bank 69 CMT PCIe                                GTH Quad 229
                                    X0Y20−X0Y23 X0Y2 HP I/O MMCM[05] HP I/O MMCM[13] X0Y2                         X1Y20−X1Y23
                                                                    PLL[08:09]            PLL[24:25]
                                    GTY Quad 128    ILKN   Bank 48 CMT Bank 68 CMT PCIe                           GTH Quad 228
                                    X0Y16−X0Y19     X0Y3   HP I/O MMCM[04] HP I/O MMCM[12] X0Y1                   X1Y16−X1Y19
                                                                    PLL[06:07]            PLL[22:23]
                                    GTY Quad 127 CMAC Bank 47 CMT Bank 67 CMT ILKN                                GTH Quad 227
                                    X0Y12−X0Y15 X0Y1 HP I/O MMCM[03] HP I/O MMCM[11] X1Y2                         X1Y12−X1Y15
                                                                    PLL[04:05]            PLL[20:21]
                                    GTY Quad 126    ILKN   Bank 46 CMT Bank 66 CMT                     SYSMON     GTH Quad 226
                                    X0Y8−X0Y11      X0Y1   HP I/O MMCM[02] HP I/O MMCM[10]               CFG       X1Y8−X1Y11
                                                                    PLL[02:03]            PLL[18:19]
                                    GTY Quad 125 CMAC Bank 45 CMT Bank 65 CMT                                     GTH Quad 225
                                                                                                        CFG
                                     X0Y4−X0Y7   X0Y0 HP I/O MMCM[01] HP I/O MMCM[09]                              X1Y4−X1Y7
                                                                    PLL[00:01]    Bank    PLL[16:17]
                                                                                                          PCIe
                                    GTY Quad 124    ILKN   Bank 44 CMT           84/94    CMT            X0Y0
                                                                                                                  GTH Quad 224
                                     X0Y0−X0Y3      X0Y0   HP I/O MMCM[00]       HR I/O   MMCM[08]     (tandem)    X1Y0−X1Y3
                                                                                                                     UG583_c3_26_022515
                                                                    PLL[18:19]            PLL[38:39]
                                    GTY Quad 133 CMAC Bank 53 CMT Bank 73 CMT PCIe                              GTH Quad 233
                                    X0Y36−X0Y39 X0Y5 HP I/O MMCM[09] HP I/O MMCM[19] X0Y3                       X1Y36−X1Y39
                                                                    PLL[16:17]            PLL[36:37]
                                    GTY Quad 132 CMAC Bank 52 CMT Bank 72 CMT ILKN                              GTH Quad 232
                                    X0Y32−X0Y35 X0Y4 HP I/O MMCM[08] HP I/O MMCM[18] X1Y5                       X1Y32−X1Y35
                                                                    PLL[14:15]            PLL[34:35]
                                    GTY Quad 131    ILKN   Bank 51 CMT Bank 71 CMT                     SYSMON   GTH Quad 231
                                    X0Y28−X0Y31     X0Y4   HP I/O MMCM[07] HP I/O MMCM[17]               CFG    X1Y28−X1Y31
                                                                    PLL[12:13]            PLL[32:33]
                                    GTY Quad 130 CMAC Bank 50 CMT Bank 70 CMT                          CFG      GTH Quad 230
                                    X0Y24−X0Y27 X0Y3 HP I/O MMCM[06] HP I/O MMCM[16]                            X1Y24−X1Y27
                                                                    PLL[10:11]            PLL[30:31]
                                    GTY Quad 129    ILKN   Bank 49 CMT Bank 69 CMT PCIe                         GTH Quad 229
                                    X0Y20−X0Y23     X0Y3   HP I/O MMCM[05] HR I/O MMCM[15] X0Y2                 X1Y20−X1Y23
                                                                      SLR Crossing
                                                                    PLL[08:09]            PLL[28:29]
                                    GTY Quad 128 CMAC Bank 48 CMT Bank 68 CMT PCIe                              GTH Quad 228
                                    X0Y16−X0Y19 X0Y2 HP I/O MMCM[04] HP I/O MMCM[14] X0Y1                       X1Y16−X1Y19
                                                                    PLL[06:07]            PLL[26:27]
                                    GTY Quad 127 CMAC Bank 47 CMT Bank 67 CMT ILKN                              GTH Quad 227
                                    X0Y12−X0Y15 X0Y1 HP I/O MMCM[03] HP I/O MMCM[13] X1Y2                       X1Y12−X1Y15
                                                                    PLL[04:05]            PLL[24:25]
                                    GTY Quad 126    ILKN   Bank 46 CMT Bank 66 CMT                     SYSMON   GTH Quad 226
                                    X0Y8−X0Y11      X0Y1   HP I/O MMCM[02] HP I/O MMCM[12]               CFG     X1Y8−X1Y11
                                                                    PLL[02:03]            PLL[22:23]
                                    GTY Quad 125 CMAC Bank 45 CMT Bank 65 CMT                                   GTH Quad 225
                                                                                                       CFG
                                     X0Y4−X0Y7   X0Y0 HP I/O MMCM[01] HP I/O MMCM[11]                            X1Y4−X1Y7
                                                                    PLL[00:01]    Bank    PLL[20:21]
                                    GTY Quad 124    ILKN   Bank 44 CMT           84/94    CMT          PCIe     GTH Quad 224
                                     X0Y0−X0Y3      X0Y0   HP I/O MMCM[00]       HR I/O   MMCM[10]
                                                                                                       X0Y0      X1Y0−X1Y3
                                                                                                                   UG583_c3_27_022515
       Refer to Table 5-2 for a summary of UltraScale banks that do not contain full access to the
       sixteen differential auxiliary analog input pairs. If a particular device/bank is not listed, then
       full access should be assumed for that bank.
        Notes:
        1. No access to pairs 0, 1, 2, 3, 8, 9, 10, 11 unless noted.
        2. No access to any pairs.
       In addition, analog auxiliary pair access can be found within each device’s package file.
       Refer to the Package Files chapter in UltraScale and UltraScale+ FPGAs Packaging and
       Pinouts Product Specification (UG575) [Ref 9] to obtain links to the UltraScale device
       package files. Analog auxiliary pins are in the form _ADxP_ in the pin name.
                             Example
                             Figure 5-15 shows a portion of a package file. The pin name portions within the red boxes
                             denote which analog auxiliary pair access those particular pins have access to.
X-Ref Target - Figure 5-15
UG583_c3_28_022515
                             Example
                             Figure 5-16 shows a portion of the Kintex UltraScale decoupling recommendations. If
                             beginning a design in the XCKU040-FFVA1156 and migrating to the XCKU060-FFVA1156,
                             use the XCKU040 capacitor scheme if no design changes are anticipated in the migration. If
                             more logic is added during the migration to the XCKU060, Xilinx recommends using the
                             decoupling scheme for that device.
X-Ref Target - Figure 5-16
UG583_c3_28_022615
                             Refer to UltraScale Architecture Integrated Block for 100G Ethernet LogiCORE IP Product
                             Guide (PG165) [Ref 15] for a more comprehensive guide regarding designing with the 100G
                             Ethernet core, with special attention to the Transceiver Selection Rules section.
       RECOMMENDED: Xilinx recommends use of the Delphi thermal model during thermal modeling of a
       package. Xilinx does not recommend using a two-resistor model for thermal simulation and design due
       to lack of precision and accuracy. If more accuracy is desired than the Delphi model, Xilinx can provide
       a detailed model representation of the package by request. However, this might consume more
       simulation memory and run time in its use. The user of the thermal model needs to include a
       consideration of thermal sensor accuracy, thermal interface material parameters, and manufacture
       variation on the thermal solution. Also, other examples of manufacture variations include the tolerance
       in airflow from a fan, the tolerance on performance of the heat pipe and vapor chamber, and the
       manufacture variation of the attachment of fins to the heat-sink base and the flatness of the surface.
                             Example
                             Pin flight time information can be obtained via the Package Pins tab within the Vivado tools,
                             both in the I/O planning stage and after synthesis (Figure 5-17).
X-Ref Target - Figure 5-17
UG583_c3_30_022515
       The underlying architecture of UltraScale+ FPGAs is the same as that of UltraScale FPGAs,
       meaning that most IP that contains CLBs, routing resources, DSP slices, and block RAM is
       the same. UltraScale Architecture and Product Overview (DS890) [Ref 19] contains a
       complete listing of the available resources found in UltraScale and UltraScale+ FPGAs.
       The following checklist and sections contain the necessary items to review for optimal
       UltraScale FPGAs migration.
        Notes:
        1. KU3P and KU5P GTY transceivers up to 16.3 Gb/s in A676 package.
        2. KU11P and KU15P GTY transceivers up to 16.3 Gb/s in A1156 package.
        3. Monolithic device, otherwise SSI device.
        4. VU11P GTY transceivers up to 16.3 Gb/s in F1924 package.
       To support this requirement, the system shown in Table 6-3 is recommended in conjunction
       with Figure 6-1. The VCCBRAM plane must be sized appropriately to support the additional
       load for VCCINT_IO when using -2L or -1L devices.
                                                     10Ω
                                                       5
                                        VCCINT_SNS   10Ω        VCCINT
                                                       5
                                                     10Ω
                                                       5
                                      VCCBRAM_SNS    10Ω
                                                       5
                                                                                     VCCINT_IO
VCCBRAM 5 5
X18639-011017
       IMPORTANT: There are a number of cases in which two “half” banks in an UltraScale device correspond
       to one “full” bank in an UltraScale+ device, and vice versa. When migrating from a device with half
       banks to a device with full banks, ensure that the voltage level on all V CCO pins for the half banks
       match the intended voltage of the corresponding full bank. Refer to Table 6-4 and to the I/O bank
       migration table in UltraScale and UltraScale+ FPGAs Packaging and Pinouts Product Specification
       (UG575) [Ref 9] to determine if this situation applies to your intended migration path.
           °     VU11P-FLVF1924 GTY transceivers run up to 16.3 Gb/s versus the standard GTY
                 transceiver speed of 32.75 Gb/s.
           °     Two ground pins (G9 and G10) in the UltraScale KU085/115 F1924 device later give
                 way to becoming RREF (G9) and RCAL (G10) pins in the UltraScale+ VU11P F1924
                 device. The recommended way to design for this case would be by utilizing the
                 schematic shown in Figure 6-2 and Table 6-5. When using the KU085/115 F1924,
                 the G9 and G10 pins are grounded, and the resistors can be left unpopulated. When
                 the RCAL and RREF pins are present when using the VU11P, both resistors should be
                 populated.
                                             Connection
                                              to AVTT
G10 G9 UG583_c7_02_081315
Figure 6-2: Schematic for Reserving Future RCAL and RREF Pins for F1924
       6. Configuration
       •                   UltraScale+ FPGAs do not support 3.3V operation on bank 0:
                           °       Refer to Interfacing 7 Series FPGAs High-Performance I/O Banks with 2.5V and 3.3V
                                   I/O Standards (XAPP520) [Ref 11] for methods of level translation between
                                   high-performance I/O banks and 2.5V/3.3V I/O standards.
       •                   UltraScale+ FPGAs do not support master SelectMAP or master serial configuration
                           modes:
                           °       Slave SelectMAP with an externally sourced clock can be used in place of master
                                   SelectMAP.
                           °       SPIx1 or slave serial (with an externally sourced clock) can be used in place of
                                   master serial mode.
Table 6-6: DDR4 SDRAM Performance in UltraScale+ FPGAs in the Presence of Migration for
Single-Rank Component
DDR4 Data Rate        VCCINT = 0.9V              UltraScale+ VCCINT = 0.85V            UltraScale+ VCCINT = 0.72V
 in UltraScale             -3              -2I, -2E, -2LE            -1E, -1I,                   -2LE
    FPGAs          (Max = 2667 Mb/s)     (Max = 2667 Mb/s)      (Max = 2400 Mb/s)         (Max = 2400 Mb/s)
-3 @ 2400                 2400                   2400                  2133                      2133
-3 @ 2133                 2133                   2133                  2133                      2133
-3 @ 1866                 1866                   1866                  1866                      1866
-2E, -2I @ 2400           2400                   2400                  2133                      2133
-2E, -2I @ 2133           2133                   2133                  2133                      2133
-2E, -2I @ 1866           1866                   1866                  1866                      1866
-1, -1I @ 2133            2133                   2133                  2133                      2133
-1, -1I @ 1866            1866                   1866                  1866                      1866
Notes:
1. This table applies only to PL memory interfaces. PS interfaces do not derate in performance due to speed grade.
         9. Block RAM
         Typically, as device densities get larger, the amount of block RAM also keeps increasing.
         However, in certain UltraScale+ devices, the amount of block RAM might be lesser than in
         devices of smaller density. This is due to the addition of UltraRAM resources to
         UltraScale+ FPGAs and MPSoCs. With UltraRAM, there is an increase in overall bit count
         compared to UltraScale FPGAs but with fewer block RAM resources available. Xilinx advises
         coding the memory to use features present in both block RAM and UltraRAM, and the tools
         target UltraRAM as applicable.
                                                                                       72-bit
                                                                                       DDR4
                                                                      PLL[18:19]             PLL[38:39]
                                    GTY Quad 133   CMAC Bank 53 CMT                Bank 73 CMT PCIe                GTH Quad 233
                                    X0Y36−X0Y39    X0Y5 HP I/O MMCM[09]            HP I/O MMCM[19] X0Y3            X0Y36−X0Y39
                                                                      PLL[16:17]             PLL[36:37]
                                    GTY Quad 132   CMAC Bank 52 CMT                Bank 72 CMT ILKN                GTH Quad 232
         HMC 1                      X0Y32−X0Y35    X0Y4 HP I/O MMCM[08]            HP I/O MMCM[18] X1Y5            X0Y32−X0Y35
                                                                                                                                    HMC 2
                                                                      PLL[14:15]             PLL[34:35]
        16x15G                      GTY Quad 131    ILKN Bank 51 CMT               Bank 71 CMT            SYSMON   GTH Quad 231     16x15G
                                    X0Y28−X0Y31     X0Y4 HP I/O MMCM[07]           HP I/O MMCM[17]          CFG    X0Y28−X0Y31
                                                                      PLL[12:13]             PLL[32:33]
                                    GTY Quad 130   CMAC Bank 50 CMT                Bank 70 CMT                     GTH Quad 230
                                                                                                          CFG
                                    X0Y24−X0Y27    X0Y3 HP I/O MMCM[06]            HP I/O MMCM[16]                 X0Y24−X0Y27
                                                                      PLL[10:11]             PLL[30:31]
                                    GTY Quad 129    ILKN Bank 49 CMT               Bank 69 CMT PCIe                GTH Quad 229
                                    X0Y20−X0Y23     X0Y3 HP I/O MMCM[05]           HR I/O MMCM[15] X0Y2            X0Y20−X0Y23
                                                                        SLR Crossing
                                                                      PLL[08:09]             PLL[28:29]
                                    GTY Quad 128   CMAC Bank 48 CMT                Bank 68 CMT PCIe                GTH Quad 228
                                    X0Y16−X0Y19    X0Y2 HP I/O MMCM[04]            HP I/O MMCM[14] X0Y1            X0Y16−X0Y19
                                                                      PLL[06:07]             PLL[26:27]
       100G                         GTY Quad 127   CMAC Bank 47 CMT                Bank 67 CMT ILKN                GTH Quad 227     Interlaken
    Ethernet                        X0Y12−X0Y15    X0Y1 HP I/O MMCM[03]            HP I/O MMCM[13] X1Y2            X0Y12−X0Y15      12x12.5G
      4x25G                                                           PLL[04:05]             PLL[24:25]
                                    GTY Quad 126    ILKN Bank 46 CMT               Bank 66 CMT            SYSMON   GTH Quad 226
                                    X0Y8−X0Y11      X0Y1 HP I/O MMCM[02]           HP I/O MMCM[12]          CFG     X0Y8−X0Y11
                                                                      PLL[02:03]             PLL[22:23]
                                    GTY Quad 125   CMAC Bank 45 CMT                Bank 65 CMT                     GTH Quad 225
                                                                                                          CFG
                                     X0Y4−X0Y7     X0Y0 HP I/O MMCM[01]            HP I/O MMCM[11]                  X0Y4−X0Y7
                                                                      PLL[00:01]    Bank     PLL[20:21]                             Tandem
                                    GTY Quad 124    ILKN Bank 44 CMT                                  PCIe         GTH Quad 224
                                                                                                                                    PCIe
                                                                                   84/94      CMT X1Y0
                                     X0Y0−X0Y3      X0Y0 HP I/O MMCM[00]           HR I/O                           X0Y0−X0Y3
                                                                                             MMCM[10]
                                                                                                                                    4 x 8G
                                                             72-bit                          52
                                                             SRAM                           HRIOs                                 UG583_c7_03_081315
                            Figure 6-4 shows how the various interfaces can migrate into a VU7P device that is also in
                            the C2104 package. All interfaces can and must map to the same banks (and corresponding
                            pins), as well as adhering to all block location requirements such as MAC, Interlaken, and
                            PCIe banks needing to be within one row of their respective locations on the die. This
                            particular example is reasonably straightforward in that the two device floorplans are very
                            close to equal, with similar bank and block locations along with similar SLR boundaries.
X-Ref Target - Figure 6-4
                                                                                     72-bit
                                                                                     DDR4
                                                                    PLL[18:19]            PLL[38:39]
                                   GTY Quad 133   CMAC Bank 53 CMT               Bank 73 CMT PCIe               GTY Quad 233
                                   X0Y36−X0Y39    X0Y5 HP I/O MMCM[09]           HP I/O MMCM[19] X0Y5           X1Y36−X1Y39
                                                                    PLL[16:17]            PLL[36:37]
                                   GTY Quad 132   CMAC Bank 52 CMT               Bank 72 CMT ILKN               GTY Quad 232
      HMC 1                        X0Y32−X0Y35    X0Y4 HP I/O MMCM[08]           HP I/O MMCM[18] X1Y4           X1Y32−X1Y35
                                                                                                                                HMC 2
                                                                    PLL[14:15]            PLL[34:35]
     16x15G                        GTY Quad 131   ILKN Bank 51 CMT               Bank 71 CMT           SYSMON   GTY Quad 231    16x15G
                                   X0Y28−X0Y31    X0Y4 HP I/O MMCM[07]           HP I/O MMCM[17]         CFG    X1Y28−X1Y31
                                                                    PLL[12:13]            PLL[32:33]
                                   GTY Quad 130   CMAC Bank 50 CMT               Bank 70 CMT                    GTY Quad 230
                                   X0Y24−X0Y27
                                                                                                       CFG
                                                  X0Y3 HP I/O MMCM[06]           HP I/O MMCM[16]                X1Y24−X1Y27
                                                                    PLL[10:11]            PLL[30:31]
                                   GTY Quad 129   ILKN Bank 49 CMT               Bank 69 CMT PCIe               GTY Quad 229
                                   X0Y20−X0Y23    X0Y3 HP I/O MMCM[05]           HP I/O MMCM[15] X1Y1           X1Y20−X1Y23
                                                                      SLR Crossing
                                                                    PLL[08:09]            PLL[28:29]
                                   GTY Quad 128   CMAC Bank 48 CMT               Bank 68 CMT PCIe               GTY Quad 228
                                   X0Y16−X0Y19    X0Y2 HP I/O MMCM[04]           HP I/O MMCM[14] X1Y2           X1Y16−X1Y19
                                                                    PLL[06:07]            PLL[26:27]
      100G                         GTY Quad 127   CMAC Bank 47 CMT               Bank 67 CMT ILKN               GTY Quad 227    Interlaken
   Ethernet                        X0Y12−X0Y15    X0Y1 HP I/O MMCM[03]           HP I/O MMCM[13] X1Y1           X1Y12−X1Y15     12x12.5G
     4x25G                                                          PLL[04:05]            PLL[24:25]
                                   GTY Quad 126   ILKN Bank 46 CMT               Bank 66 CMT           SYSMON   GTY Quad 226
                                   X0Y8−X0Y11     X0Y1 HP I/O MMCM[02]           HP I/O MMCM[12]         CFG     X1Y8−X1Y11
                                                                    PLL[02:03]            PLL[22:23]
                                   GTY Quad 125   CMAC Bank 45 CMT               Bank 65 CMT                    GTY Quad 225
                                    X0Y4−X0Y7                                                          CFG
                                                  X0Y0 HP I/O MMCM[01]           HP I/O MMCM[11]                 X1Y4−X1Y7
                                                                    PLL[00:01]            PLL[20:21]                            Tandem
                                   GTY Quad 124   ILKN Bank 44 CMT               Bank 64 CMT PCIe               GTY Quad 224
                                                                                                                                PCIe
                                    X0Y0−X0Y3     X0Y0 HP I/O MMCM[00]           HP I/O MMCM[10] X1Y0            X1Y0−X1Y3
                                                                                                                                4x8G
                                                                                   72-bit
                                                                                   DDR4
                                                                   PLL[28:29]            PLL[58:59]
                                   GTY Quad 133 CMAC Bank 53 CMT Bank 73 CMT PCIe GTH Quad 233
                                   X0Y56−X0Y59 X0Y8 HP I/O MMCM[14] HP I/O MMCM[29] X0Y5 X1Y56−X1Y59
                                                                   PLL[26:27]            PLL[56:57]
                                   GTY Quad 132 CMAC Bank 52 CMT Bank 72 CMT ILKN GTH Quad 232                                    Interlaken
                                   X0Y52−X0Y55 X0Y7 HP I/O MMCM[13] HP I/O MMCM[28] X1Y8 X1Y52−X1Y55                              12x12.5G
                                                                   PLL[24:25]            PLL[54:55]
                                   GTY Quad 131 ILKN Bank 51 CMT Bank 71 CMT                          SYSMON     GTH Quad 231
                                   X0Y48−X0Y51 X0Y7 HP I/O MMCM[12] HP I/O MMCM[27]                     CFG      X1Y48−X1Y51
                                                                   PLL[22:23]            PLL[52:53]
                                   GTY Quad 130 CMAC Bank 50 CMT Bank 70 CMT                                     GTH Quad 230
                                                                                                       CFG
                                   X0Y44−X0Y47 X0Y6 HP I/O MMCM[11] HP I/O MMCM[26]                              X1Y44−X1Y47
                                                                   PLL[20:21]            PLL[50:51]
                                   GTY Quad 129 ILKN Bank 49 CMT Bank 69 CMT PCIe GTH Quad 229
                                   X0Y40−X0Y43 X0Y6 HP I/O MMCM[10] HP I/O MMCM[25] X0Y4 X1Y40−X1Y43
                                                                     SLR Crossing
                                                                   PLL[18:19]            PLL[48:49]
                                   GTY Quad 128 CMAC Bank 48 CMT Bank 68 CMT PCIe GTH Quad 228
                                   X0Y36−X0Y39 X0Y5 HP I/O MMCM[09] HP I/O MMCM[24] X0Y3 X1Y36−X1Y39
                                                                   PLL[16:17]            PLL[46:47]
               100G                GTY Quad 127 CMAC Bank 47 CMT Bank 67 CMT ILKN GTH Quad 227
            Ethernet               X0Y32−X0Y35 X0Y4 HP I/O MMCM[08] HP I/O MMCM[23] X1Y5 X1Y32−X1Y35
              4x25G                GTY Quad 126 ILKN
                                                                   PLL[14:15]
                                                         Bank 46 CMT Bank 66 CMT
                                                                                         PLL[44:45]
                                                                                                      SYSMON     GTH Quad 226
                                   X0Y28−X0Y31 X0Y4      HP I/O MMCM[07] HP I/O MMCM[22]                CFG      X1Y28−X1Y31
                                                                   PLL[12:13]            PLL[42:43]
                                   GTY Quad 125 CMAC Bank 45 CMT Bank 65 CMT
                                                                                                       CFG GTH Quad 225
                                   X0Y24−X0Y27 X0Y3 HP I/O MMCM[06] HP I/O MMCM[21]                        X1Y24−X1Y27
                                                                   PLL[10:11]    Bank    PLL[40:41]      PCIe                     Tandem
                                   GTY Quad 124 ILKN     Bank 44 CMT            84/94    CMT            X0Y2     GTH Quad 224     PCIe
                                   X0Y20−X0Y23 X0Y3      HP I/O MMCM[05]        HR I/O                (tandem)   X1Y20−X1Y23
                                                                                         MMCM[20]
                                                                                                                                  4x8G
                                                                     SLR Crossing
                                                                   PLL[08:09]            PLL[38:39]
                                   GTY Quad 123 CMAC Bank 43 CMT Bank 63 CMT PCIe GTH Quad 223
                                   X0Y16−X0Y19 X0Y2 HP I/O MMCM[04] HP I/O MMCM[19] X0Y1 X1Y16−X1Y19
                                                                   PLL[06:07]            PLL[36:37]
                                   GTY Quad 122 CMAC Bank 42 CMT Bank 62 CMT ILKN GTH Quad 222
                                   X0Y12−X0Y15 X0Y1 HP I/O MMCM[03] HP I/O MMCM[18] X1Y2 X1Y12−X1Y15
                                                                   PLL[04:05]            PLL[34:35]
                                   GTY Quad 121 ILKN     Bank 41 CMT Bank 61 CMT                      SYSMON     GTH Quad 221
                 HMC 1             X0Y8−X0Y11 X0Y1       HP I/O MMCM[02] HP I/O MMCM[17]                CFG       X1Y8−X1Y11      HMC 2
                                                                   PLL[02:03]            PLL[32:33]
                16x15G             GTY Quad 120 CMAC Bank 40 CMT Bank 60 CMT           GTH Quad 220                               16x15G
                                                                                   CFG
                                    X0Y4−X0Y7 X0Y0 HP I/O MMCM[01] HP I/O MMCM[16]      X1Y4−X1Y7
                                                                   PLL[00:01]            PLL[30:31]
                                   GTY Quad 119 ILKN     Bank 39 CMT Bank 59 CMT PCIe GTH Quad 219
                                    X0Y0−X0Y3 X0Y0       HP I/O MMCM[00] HP I/O MMCM[15] X0Y0 X1Y0−X1Y3
                                                              72-bit
                                                              SRAM                                                              UG583_c7_05_081315
       Figure 6-6 shows how this design could migrate into a VU13P device. Careful bank
       selections for the various interfaces are necessary due to the notable differences in the
       device floorplans. The key differences in the device floorplans are such that there are more
       available banks in the VU13P, the SLRs cross different bank boundaries, and the block
       locations are noticeably different in the two devices relative to their neighboring banks.
       X-Ref Target - Figure 6-6
                                                                         72-bit
                                                                         DDR4
                                                                             PLL[30:31]
                                              GTY Quad 135 CMAC Bank 75        ILKN GTY Quad 235
                                                                        CMT XIY11 X0Y60−X0Y63
                                              X0Y60−X0Y63 X0Y7 HP I/O MMCM[15]
                                                                             PLL[28:29]
                                              GTY Quad 134 CMAC Bank 74                   SYSMON   GTY Quad 234
                                                                        CMT
                                              X0Y56−X0Y59 X0Y10 HP I/O MMCM[14]             CFG    X1Y56−X1Y59
                                                                             PLL[26:27]
                                              GTY Quad 133 CMAC Bank 73 CMT                        GTY Quad 233   Interlaken
                                              X0Y52−X0Y55 X0Y6 HP I/O MMCM[13]            CFG      X1Y52−X1Y55    12x12.5G
                                                                             PLL[24:25]
                                              GTY Quad 132 CMAC Bank 72 CMT PCIe3 GTY Quad 232
                                              X0Y48−X0Y51 X0Y9 HP I/O MMCM[12] X0Y3 X1Y48−X1Y51
                                                                    SLR Crossing
                                                                             PLL[22:23]
                                              GTY Quad 131 ILKN    Bank 71 CMT ILKN GTY Quad 231
                                              X0Y44−X0Y47 X0Y5     HP I/O MMCM[11] X1Y8 X1Y44−X1Y47
                                                                             PLL[20:21]
                                              GTY Quad 130 CMAC Bank 70 CMT               SYSMON   GTY Quad 230
                                              X0Y40−X0Y43 X0Y7 HP I/O MMCM[10]              CFG    X1Y40−X1Y43
                                                                             PLL[18:19]
                                              GTY Quad 129 ILKN    Bank 69 CMT            CFG GTY Quad 229
                                              X0Y36−X0Y39 X0Y4     HP I/O MMCM[09]            X1Y36−X1Y39
                                      100G                                   PLL[16:17]
                                              GTY Quad 128 CMAC Bank 68 CMT PCIe3 GTY Quad 228
                                   Ethernet
                                              X0Y32−X0Y35 X0Y6 HP I/O MMCM[08] X0Y2 X1Y32−X1Y35
                                     4x25G
                                                                    SLR Crossing
                                                                             PLL[14:15]
                                              GTY Quad 127 CMAC Bank 67 CMT ILKN GTY Quad 227
                                              X0Y28−X0Y31 X0Y3 HP I/O MMCM[07] X1Y5 X1Y28−X1Y31
                                                                             PLL[12:13]
                                              GTY Quad 126 ILKN    Bank 66 CMT            SYSMON   GTY Quad 226
                                              X0Y24−X0Y27 X0Y4     HP I/O MMCM[06]          CFG    X1Y24−X1Y27
                                                                             PLL[10:11]
                                              GTY Quad 125 CMAC Bank 65 CMT                        GTY Quad 225   Tandem PCIe
                                              X0Y20−X0Y23 X0Y2 HP I/O MMCM[05]            CFG      X1Y20−X1Y23    4x8G
                                                                             PLL[08:09]
                                              GTY Quad 124 ILKN    Bank 64
                                                                           CMT PCIe3 GTY Quad 224
                                              X0Y16−X0Y19 X0Y3     HP I/O MMCM[04] X0Y1 X1Y16−X1Y19
                                                                    SLR Crossing
                                                                             PLL[06:07]
                                              GTY Quad 123 CMAC Bank 63 CMT ILKN GTY Quad 223
                                              X0Y12−X0Y15 X0Y1 HP I/O MMCM[03] X1Y2 X1Y12−X1Y15
                                                                             PLL[04:05]
                                              GTY Quad 122 CMAC Bank 62 CMT               SYSMON   GTY Quad 222
                                    HMC 1     X0Y8−X0Y11 X0Y1 HP I/O MMCM[02]               CFG    X1Y8−X1Y11     HMC 2
                                   16x15G                                    PLL[02:03]
                                                                                                                  16x15G
                                              GTY Quad 121 ILKN    Bank 61 CMT         GTY Quad 221
                                              X0Y4−X0Y7    X0Y1    HP I/O MMCM[01] CFG X1Y4−X1Y7
                                                                             PLL[00:01]
                                              GTY Quad 120 CMAC Bank 60 CMT PCIe3 GTY Quad 220
                                               X0Y0−X0Y3 X0Y0 HP I/O MMCM[00] X0Y0 X1Y0−X1Y3
                                                                72-bit
                                                                SRAM                                               UG583_c7_06_081315
       Migration from a Kintex or Virtex UltraScale FPGA into a Virtex UltraScale+ FPGA built with
       a five row tall SLR is seamless compared to migrating into a device made up of SLRs that are
       four rows tall. However, all migration paths are supported as long as the customer plans
       upfront on the extra considerations needed to make this switch successful.
       An example of an easy and seamless migration scenario is from the VU095 to the V3P where
       both devices are monolithic. Similarly, migrating from the KU115, VU125, VU160, or VU190
       into the VU5P, VU7P, or VU9P is also seamless and straightforward because they are based
       on SLRs that are five rows tall. Extra consideration is needed to migrate to the VU11P or
       VU13P because these devices consist of SLRs that are four rows tall.
Migration between
Zynq UltraScale+ MPSoCs and Packages
       When migrating a design from one Zynq UltraScale+ MPSoC to another, special attention
       must be paid to potential differences between the two devices. Refer to the checklist below,
       and the subsequent sections that explain each item.
                            Compatibility, I/O Bank Migration, and Transceiver Quad Migration tables in Zynq
                            UltraScale+ MPSoC Packaging and Pinouts Product Specification User Guide (UG1075)
                            [Ref 26] to determine which Zynq UltraScale+ MPSoCs are footprint compatible, as well as
                            the extent to which the various banks might become unbonded or not exist.
                            Example 1
                            Figure 7-1 shows a snippet of the Footprint Compatibility table from Zynq UltraScale+
                            MPSoC Packaging and Pinouts Product Specification User Guide (UG1075). The XZCU2CG/EG
                            SBVA484 devices are footprint compatible with the XCZU3CG/EG A484 devices, but the
                            XCZU2CG/EG A484 devices are NOT compatible with any of the A625 devices, even though
                            they share the same die.
                            X-Ref Target - Figure 7-1
X18640-011017
                            Example 2
                            Figure 7-2 shows a snippet of the I/O Bank Migration table from Zynq UltraScale+ MPSoC
                            Packaging and Pinouts Product Specification User Guide (UG1075). I/O banks 72, 73, and 74
                            are present on the XCZU17 and ZCZU19 B1517 devices, but those I/O banks are not
                            available on the XCZU11 B1517 device.
X-Ref Target - Figure 7-2
X18641-011017
                            Example 3
                            Figure 7-3 shows a snippet of the Transceiver Quad Migration table from Zynq UltraScale+
                            MPSoC Packaging and Pinouts Product Specification User Guide (UG1075). Transceiver Quads
                            230 and 231 are present on the XCZU11 F1517 device, but those transceiver Quads are not
                            available on the XCZU7 F1517 device.
X-Ref Target - Figure 7-3
X18642-022218
                            Refer to the I/O Bank Migration and Transceiver Quad Migration tables in Zynq UltraScale+
                            MPSoC Packaging and Pinouts Product Specification User Guide (UG1075) for the bank and
                            transceiver Quad numbering differences. To cross-reference bank locations on a die, refer to
                            the Die Level Bank Numbering Overview section of Zynq UltraScale+ MPSoC Packaging and
                            Pinouts Product Specification User Guide (UG1075).
                            The example below shows a successful migration path despite both bank number changes
                            and banks being in different columns between the two different devices.
X18643-081518
                                                        GTY Quad 130         CMAC            HP I/O Bank 70   HD I/O Bank 90     GTH Quad 230
                                                        X0Y12-X0Y15          X0Y1                  U                P            X0Y24-X0Y27
                                                        GTY Quad 128         PCIE4           HP I/O Bank 68   HD I/O Bank 88     GTH Quad 228
                                                         X0Y4-X0Y7           X0Y2                  W                N            X0Y16-X0Y19
X18627-010917
                                   GTY Quad 133            ILKN          HP I/O Bank 73   HD I/O Bank 93    GTH Quad 233
                                   X0Y24-X0Y27             X0Y3                R                P           X0Y36-X0Y39
                                   GTY Quad 132            CMAC          HP I/O Bank 72       ILKN          GTH Quad 232
                                   X0Y20-X0Y23             X0Y2                 S             X1Y2          X0Y32-X0Y35
                                   GTY Quad 131            PCIE4         HP I/O Bank 71   HD I/O Bank 91    GTH Quad 231
                                   X0Y16-X0Y19             X0Y4                 T               O           X0Y28-X0Y31
                                   GTY Quad 130            CMAC          HP I/O Bank 70   HD I/O Bank 90    GTH Quad 230
                                   X0Y12-X0Y15             X0Y1                U                N           X0Y24-X0Y27
                                   GTY Quad 128            PCIE4         HP I/O Bank 68       PCIE4         GTH Quad 228
                                    X0Y4-X0Y7              X0Y2                W              X1Y3          X0Y16-X0Y19
X18628-010917
         Notes:
         1. VCCINT_IO must connect to VCCBRAM.
Notes:
1. VCC_PSINTFP_DDR must connect to VCC_PSINTFP.
         4. -3 Speed Migration
         CG devices and the XCZU2EG/XCZU3EG are not available in the -3 speed grade. CG devices
         can only migrate to EG or EV devices in non -3 speed grades to maintain similar
         performance. Likewise, EG and EV devices can only migrate to a CG device in non -3 speed
         grades to maintain similar performance. EG to EV devices can migrate to any speed grades,
         as well as EV to EG.
       5. VCU Migration
       The VCU is only available in EV devices. EV devices have a number of VCCINT_VCU power
       pins that correspond to ground pins on the CG and EG devices. If moving from a CG or EG
       to an EV device, the corresponding pins (VCCINT_VCU) should remain grounded if the VCU
       is not used.
       IMPORTANT: A feasible migration path only exists for moving from an EV device to a CG/EG device. To
       go to an EV device from a CG/EG device, the PCB would need to be modified substantially to add the
       regulator for VCCINT_VCU.
X18629-020718
       6. GPU Migration
       The GPU is only available in EG and EV devices. If migrating from an EG or EV device to a CG
       device, the GPU should not be used.
       7. Decoupling Capacitors
       If the design is not expected to change when migrating to the new device, the same
       decoupling scheme can be utilized. If adding logic, Xilinx recommends using a decoupling
       scheme appropriate for the new device and design. Refer to Table 1-9 for decoupling
       capacitor guidelines for the various Zynq UltraScale+ MPSoCs.
       Refer to UltraScale+ Devices Integrated 100G Ethernet Subsystem Product Guide (PG203)
       [Ref 28] for a more comprehensive guide regarding designing with the 100G Ethernet core,
       with special attention to the Transceiver Selection Rules section.
       RECOMMENDED: Xilinx recommends use of the Delphi thermal model during thermal modeling of a
       package. The Delphi thermal model includes consideration of the thermal interface material
       parameters and the manufacture variation on the thermal solution. Examples of manufacture
       variations include the tolerance in airflow from a fan, the tolerance on performance of the heat pipe
       and vapor chamber, and the manufacture variation of the attachment of fins to the heat sink base and
       the flatness of the surface. Further information regarding thermal considerations can be found in the
       Thermal Management Strategy chapter of Zynq UltraScale+ MPSoC Packaging and Pinouts Product
       Specification User Guide (UG1075) [Ref 26].
                            7. When the project loads, click Export I/O Ports from the Project Manager pane.
                            8. Make sure CSV is checked, select a filename and location, then click OK.
                            The resultant CSV file lists all I/Os and their associated pin delays under the Min Trace and
                            Max Trace columns.
X18631-010917
                            Alternatively, the Tcl command write_csv {filename.csv} can be used in the Tcl
                            Console tab to export I/O information to a file that includes the pin delays.
                            To enable the DDR4 migration feature in the MIG tool, select the Enable Migration
                            checkbox in the Advanced Options tab, as shown in Figure 7-9.
X-Ref Target - Figure 7-9
X18632-010917
Figure 7-9: Selecting the Enable Migration Feature for DDR4 Designs
                             After enabling migration, select the Migration Options tab and enter the relevant skew
                             values as outlined in UltraScale Architecture FPGAs Memory IP Product Guide (PG150)
                             [Ref 13] between the two devices (Figure 7-10). The pin delays can be found via Example 1:
                             Obtaining Pin Flight Times During I/O Planning or Example 2: Obtaining Pin Flight Times
                             after Synthesis. The delay values entered should be positive and between 0 and 75 ps.
X-Ref Target - Figure 7-10
X18633-010917
SelectIO Signaling
       The UltraScale architecture SelectIO resources are the general-purpose I/O and its various
       settings. With numerous I/O standards and hundreds of variants within these standards,
       these SelectIO resources offer a flexible array of choices for designing I/O interfaces.
       This chapter provides some strategies for choosing I/O standard, topography, and
       termination, and offers guidance on simulation and measurement for more detailed
       decision making and verification. In many cases, higher-level aspects of the system (other
       device choices or standards support) define the I/O interfaces to be used. In cases where
       such constraints are not defined, it is up to the system designer to choose I/O interface
       standards and optimize them according to the purpose of the system.
       •   Interface Types
       •   Single-Ended Signaling
       Interface Types
       To better address the specifics of the various interface types, it is necessary to first break
       interfaces into categories. Two relevant divisions are made:
       To reach higher interface speeds and increase noise margin, some single-ended I/O
       standards rely on a precise dedicated local reference voltage other than GND. HSTL and
       SSTL are examples of I/O standards that rely on a VREF to resolve logic levels. VREF can be
       thought of as a fixed comparator input.
       Single-Ended Signaling
       A variety of single-ended I/O standards are available in the UltraScale architecture I/O. For
       a complete list of supported I/O standards and detailed information about each one, refer
       to the SelectIO Resources chapter of the UltraScale Architecture SelectIO Resources User
       Guide (UG571) [Ref 10]. Tables at the end of this chapter summarize for each supported I/O
       standard which ones support DRIVE and SLEW attributes, bidirectional buffers, and the DCI
       options. It also describes which I/O standards are supported in the high-performance (HP)
       and high-range (HR) I/O banks.
       Some I/O standards have attributes to control drive strength and slew rate, as well as the
       presence of weak pull-up or pull-down and weak-keeper circuits (not intended for use as
       parallel termination). Drive strength and slew rate can be used to tune an interface for
       adequate speed while not overdriving the signals. Weak pull-ups, weak pull-downs, and
       weak keepers can be used to ensure a known or steady level on a floating or 3-stated signal.
       The SelectIO Resources chapter of the UltraScale Architecture SelectIO Resources User Guide
       (UG571) [Ref 10] describes which standards support these attributes. Refer to this user
       guide for more information.
       LVCMOS, when set to 6 mA DRIVE and FAST slew, has an approximate output impedance
       close to 50Ω , allowing it to be used as a crude approximation of a controlled-impedance
       driver. The impedance match of the weak driver to the transmission line is only approximate
       and varies with voltage and temperature. LVDCI and HSLVDCI, true controlled-impedance
       drivers, are adaptive, maintain a much closer impedance match, and remain constant over
       voltage and temperature.
       Input Thresholds
       The input circuitry of the single-ended standards fall into two categories: those with fixed
       input thresholds and those with input thresholds set by the VREF voltage. The use of VREF
       has three advantages:
       Two 1.8V I/O standards that illustrate this are LVCMOS18 and SSTL18 Class 1. The
       thresholds for 1.8V LVCMOS are set at 0.63V and 1.17V (necessitating that the signal at the
       receiver swing a full 540 mV at minimum to make a logic transition). The thresholds for
       SSTL18 Class 1 are set at V REF – 0.125V and V REF + 0.125V, or for a nominal VREF of 0.9V, set
       at 0.775V and 1.025V (necessitating that the signal at the receiver only swing 250 mV at
       minimum to make a logic transition). This smaller required swing allows for higher
       frequency of operation in the overall link. A smaller swing at the driver means reduced DC
       power is required with less transient current. In the UltraScale architecture-based devices,
       the reference voltage can either be provided using the dedicated V REF pins, or optionally
       generated internally using the Internal VREF feature. See the SelectIO Resources chapter of
       the UltraScale Architecture SelectIO Resources User Guide (UG571) [Ref 10] for more details
       on Internal VREF. For more information on VREF decoupling and decoupling of all other
       supplies, see Chapter 1, Power Distribution System in UltraScale Devices.
       The simplest unidirectional topography is point-to-point. That is, there is one driver and
       one receiver. Termination, if present, can consist of parallel termination at the receiver
       (Figure 8-1), series termination at the driver (Figure 8-2), or a controlled-impedance driver
       (Figure 8-3 and Figure 8-4). Always use IBIS simulation to determine the optimal resistor
       values, V TT voltage level, and VRP reference resistors for these terminations.
       X-Ref Target - Figure 8-1
VTT
                                                                                  RP = Z0 = 50Ω
                                                    RO = 25Ω
Z0 = 50Ω
UG583_c2_01_112113
                                                    RO = 25Ω
                                                               RS = Z0 – R0 = 25Ω
                                                                                Z0 = 50Ω
UG583_c2_02_112113
                                                      LVDCI
                                                      RO = RVRP > Z0 = 50Ω
Z0 = 50Ω
UG583_c2_03_102914
UG583_c2_04_112113
       Assuming transmission lines with 50Ω characteristic impedance and a driver output
       impedance (R O) of 25Ω , a 25Ω series termination (Figure 8-2) or a 50Ω parallel termination
       (Figure 8-1) is appropriate. Controlled-impedance drivers, whether implemented with DCI
       or with weak LVCMOS drivers, should be sized to have an output impedance (RO) of 50Ω .
       This corresponds to a VRP resistor equal to 50Ω for DCI. Weak LVCMOS drivers of 6 mA to
       8 mA drive strength have an output impedance approximately equal to 50Ω (Figure 8-3).
       Typically, parallel terminations have best performance when V TT (the voltage source
       connected to the parallel termination resistor) is equal to half of the signaling voltage. For
       2.5V signals (V CCO = 2.5V), V TT is ideally 1.25V. In cases where this voltage is not available,
       it is possible to use a Thevenin parallel termination. Thevenin parallel termination consists
       of a voltage divider with a parallel equivalent resistance (RPEQ) equal to the characteristic
       impedance of the transmission line (50Ω in most cases). The divided voltage point is
       designed to be at VTT. Figure 8-5 illustrates a Thevenin parallel termination powered from
       2.5V VCCO, made up of two 100Ω resistors, resulting in a V TT of 1.25V and a parallel
       equivalent resistance (RPEQ) of 50Ω .
VCCO = 2.5V
                                                                  RPT = 2 x Z0 = 100Ω
                                   RO = 25Ω
UG583_c2_05_112113
       LVTTL and LVCMOS do not specify any canonical termination method. Series termination at
       the driver or parallel termination at the receiver are both appropriate considerations.
       Every I/O standard can have different requirements for termination techniques. In some
       cases the specification for the I/O standard can rigidly define the termination topology.
       Other standards might not have any hard requirements, but rather might simply provide
       examples of termination topologies. An example of a standard with specific termination
       requirements is HSTL. HSTL Class I is a unidirectional I/O standard that recommends a
       parallel termination at the receiver. In the case of HSTL Class I, the termination voltage VTT
       is defined as half of the supply voltage VCC. The designer can ultimately elect either not to
       use termination at all or to use a different termination, such as series termination at the
       driver. There are a number of reasons why this selection might be advantageous in a given
       system. It is up to the designer to verify through simulation and measurement that the
       signal integrity at the receiver is adequate.
       The SSTL standards tend to not have rigid requirements for termination topology. Rather,
       the JEDEC specifications provide example termination techniques that tend to be the
       commonly used topographies. The SelectIO Resources chapter of the UltraScale Architecture
       SelectIO Resources User Guide (UG571) [Ref 10] provides example termination techniques
       for each of the I/O standards, including the SSTL standards, for the purpose of providing a
       good starting point for consideration. Similar to HSTL, it is ultimately up to the designer to
       verify through simulation and measurement that the signal integrity at the receiver is
       adequate.
       In more complex topographies, a single driver can drive multiple receivers. The receivers
       represent loads that must be fed by individual transmission line stubs. From a signal
       integrity standpoint, the best topography to use in this case is a single long transmission
       line with the driver at one end and parallel termination at the other, with receivers
       connected to the main trace by short stubs in between. This type of topography is often
       referred to as a flyby multi-drop topography.
       There are two critical aspects of this topography. The first is the presence of a single parallel
       termination at the far end of the transmission line. Series termination at the driver or a
       controlled impedance driver must never be used. Parallel termination is the only applicable
       termination type for this topography. The second critical aspect is the length of the
       connecting stubs at each receiver. These must remain short: no more than a fraction of a
       signal rise time in length. With a typical signal rise time of 600 ps, a stub no longer than
       700 ps/4 = 150 ps, or 0.9 inches (22.86 mm) should be used. As the stubs become longer,
       they present a larger impedance discontinuity to the signal travelling down the
       transmission line, and can support significant reflections. These impedance discontinuities
       corrupt the signal. With increasing numbers of loads and increasing length of stubs, the
       signal is corrupted to the point where it is no longer usable.
       Star topographies are not recommended. The constraints involved in designing a star
       topography with good signal integrity are beyond the scope of this document.
       Figure 8-6 illustrates a Thevenin parallel termination powered from VCCO, made up of two
       100Ω resistors, resulting in a V TT of VCCO/2 and a parallel equivalent resistance of 50Ω . This
       figure shows a topography with one driver (an LVCMOS driver) and four receivers. The
       driver is on the left side, the receivers are spaced at interim points across the 50Ω
       transmission line, and the Thevenin parallel termination of two 100Ω resistors is on the
       right side.
                            Output                                                                                                                                                       Input 4
                                                                                Main Transmission Line
                                         Z0 = 50Ω                              Z0 = 50Ω                              Z0 = 50Ω                              Z0 = 50Ω
Z0 = 50Ω
Z0 = 50Ω
                                                                                                                                                                       Z0 = 50Ω
                                                                                                                                                                                  VCCO
2 x Z0 = 100Ω
UG583_c2_06_112113
                              The main transmission line should be kept as short as possible. Lengths up to 20 inches or
                              more are practical for most I/O standards as long as precise trace impedance is maintained
                              and crosstalk sources are avoided. The lengths of interim segments of the main
                              transmission line need not be equal. Their relative lengths can be arbitrary. Receivers at
                              different points along the main transmission line receive the signal with varying amounts of
                              delay, but all signal rise times are similar.
                              Stubs stretching from the main transmission line to the individual receivers must be kept as
                              short as possible. The longer these stubs become, the more corrupted the received
                              waveforms are. Simulation and measurement are required to assess signal integrity at the
                              individual receivers.
                              Table 8-2 lists example I/O interface types that can be used with the unidirectional multi-
                              drop topography.
                              LVTTL and LVCMOS do not specify any canonical termination method. Parallel termination
                              at the end of the long t-line is an appropriate termination method.
       The simplest bidirectional topography is point to point. That is, there are two transceivers
       connected by a transmission line. Because bidirectional interfaces need to operate equally
       well in both directions, symmetry of the topography is desirable. While asymmetrical
       topographies can be designed with reasonably good signal integrity, the easiest way to
       ensure good signal integrity is to keep the topography symmetrical. Thus any termination
       used on one side of the link should also be used on the other side of the link. Series
       termination (Figure 8-8) is rarely appropriate for bidirectional interfaces as incoming
       signals are attenuated by the series resistor of the receiving transceiver. Parallel termination
       (Figure 8-7) almost always achieves better signal levels at both receivers. Controlled-
       impedance drivers, whether crudely controlled in the form of a weak LVCMOS driver or
       adaptively controlled in the form LVDCI or HSLVDCI, also can have good results as shown in
       Figure 8-9 (implemented with a low-drive strength LVCMOS driver). Always use IBIS
       simulation to determine the optimal termination resistor value, VTT voltage level and VRP
       reference resistor values for these terminations.
       X-Ref Target - Figure 8-7
VTT VTT
                                                                   RP = Z0 = 50Ω           RP = Z0 = 50Ω
                                           RO = 25Ω
Z0 = 50Ω
RO = 25Ω
UG583_c2_07_112113
                                         RO = 25Ω
                                                      RS = Z0 – R0 = 25Ω           RS = Z0 – R0 = 25Ω
                                                                        Z0 = 50Ω
RO = 25Ω
UG583_c2_08_112113
                                                 RO ≈ Z0 = 50Ω
                                                 LVCMOS (DRIVE = 6, SLEW = FAST)
                                                                    Z0 = 50Ω
                                                                                       RO ≈ Z0 = 50Ω
                                                                                       LVCMOS_6F
UG583_c2_09_112113
       Assuming transmission lines with 50Ω characteristic impedance and a driver output
       impedance of 25Ω, 50Ω parallel terminations are appropriate (Figure 8-7). Controlled-
       impedance drivers, whether implemented with DCI or with weak LVCMOS drivers, should be
       sized to have an output impedance (R O) of 50Ω . An example of the use of a controlled-
       impedance driver would be the LVDCI_15 I/O standard. Weak LVCMOS drivers of 6 mA to
       8 mA drive strength have an output impedance approximately equal to 50Ω (Figure 8-9).
       Parallel terminations have the best performance when V TT (the voltage source connected to
       the parallel termination resistor) is equal to half of the signaling voltage, since this is
       typically the center voltage of the data eye. For 2.5V signals (VCCO = 2.5V), V TT is ideally
       1.25V. In cases where this voltage is not available, it is advisable to use a Thevenin parallel
       termination. Thevenin parallel termination consists of a voltage divider with a parallel
       resistance equal to the characteristic impedance of the transmission line (50Ω in most
       cases). The divided voltage point is designed to be at VTT. Figure 8-10 illustrates a Thevenin
       parallel termination powered from 2.5V VCCO, made up of two 100Ω resistors, resulting in a
       VTT of 1.25V and a parallel equivalent resistance (RPEQ) of 50Ω .
Z0 = 50Ω
                                                                                                                             RO = 25Ω
                                                   RPT = 2 x Z0 = 100Ω                           RPT = 2 x Z0 = 100Ω
                                                                             VTTEQ = 1.25V
                                                                                                                        UG583_c2_10_112113
       Table 8-3:                         Example I/O Interface Types for Bidirectional Point-to-Point I/O Topographies
            LVTTL
            LVCMOS
            LVDCI
            HSLVDCI
            SSTL15
            SSTL15 DCI
            SSTL18 CLASS II
            SSTL18 CLASS II DCI
            HSTL CLASS II
            HSTL CLASS II DCI
       LVTTL and LVCMOS do not specify any canonical termination method. Series termination is
       not recommended for bidirectional interfaces. Parallel termination and weak drivers,
       however, are both appropriate.
       HSTL Class II specifies parallel termination at both transceivers. The termination voltage V TT
       is defined as half of the supply voltage VCCO. The designer can elect either not to use
       termination at all or to use a different termination. It is up to the designer to verify through
       simulation and measurement that the signal integrity at the receiver is adequate.
       The JEDEC specifications for SSTL provide examples of both series termination and parallel
       termination. The termination voltage VTT is defined as half of the supply voltage V CCO.
       While the specification document provides examples depicting series termination at the
       drivers, it is important to note that the purpose of this is to attempt to match the
       impedance of the driver with that of the transmission line. Because the UltraScale
       architecture SSTL drivers target to have output impedances close to 40–50Ω , better signal
       integrity can be achieved without any external source-series termination. When possible, it
       is a better starting point to consider the use of the 3-state DCI I/O standards (“T_DCI”),
       which provide internal parallel termination resistors that are only present when the output
       buffer is in 3-state. It is up to the designer to carefully choose the I/O standard(s) at the
       Xilinx device, drive strengths, and on-die termination (ODT) options at the other device(s) in
       the interface (usually DRAM ICs) and termination topography though careful simulation
       and measurement. See the UltraScale Architecture SelectIO Resources User Guide (UG571)
       [Ref 10] for more details on the available I/O standards and options.
       Introduction
       Printed circuit boards (PCBs) are electrical systems, with electrical properties as complicated
       as the discrete components and devices mounted to them. The PCB designer has complete
       control over many aspects of the PCB; however, current technology places constraints and
       limits on the geometries and resulting electrical properties. The following information is
       provided as a guide to the freedoms, limitations, and techniques for PCB designs using
       FPGAs.
       •   PCB Structures
       •   Transmission Lines
       •   Return Currents
       PCB Structures
       PCB technology has not changed significantly in the last few decades. An insulator
       substrate material (usually FR4, an epoxy/glass composite) with copper plating on both
       sides has portions of copper etched away to form conductive paths. Layers of plated and
       etched substrates are glued together in a stack with additional insulator substrates between
       the etched substrates. Holes are drilled through the stack. Conductive plating is applied to
       these holes, selectively forming conductive connections between the etched copper of
       different layers.
       While there are advancements in PCB technology, such as material properties, the number
       of stacked layers used, geometries, and drilling techniques (allowing holes that penetrate
       only a portion of the stackup), the basic structures of PCBs have not changed. The
       structures formed through the PCB technology are abstracted to a set of physical/electrical
       structures: traces, planes (or planelets), vias, and pads.
       Traces
       A trace is a physical strip of metal (usually copper) making an electrical connection between
       two or more points on an X-Y coordinate of a PCB. The trace carries signals between these
       points.
       Planes
       A plane is an uninterrupted area of metal covering the entire PCB layer. A planelet, a
       variation of a plane, is an uninterrupted area of metal covering only a portion of a PCB layer.
       Typically, a number of planelets exist in one PCB layer. Planes and planelets distribute power
       to a number of points on a PCB. They are very important in the transmission of signals along
       traces because they are the return current transmission medium.
       Vias
       A via is a piece of metal making an electrical connection between two or more points in the
       Z space of a PCB. Vias carry signals or power between layers of a PCB. In current plated-
       through-hole (PTH) technology, a via is formed by plating the inner surface of a hole drilled
       through the PCB. In current microvia technology (also known as High Density Interconnect
       or HDI), a via is formed with a laser by ablating the substrate material and deforming the
       conductive plating. These microvias cannot penetrate more than one or two layers,
       however, they can be stacked or stair-stepped to form vias traversing the full board
       thickness.
       Pads are small areas of copper in prescribed shapes. Antipads are small areas in prescribed
       shapes where copper is removed. Pads are used both with vias and as exposed outer-layer
       copper for mounting of surface-mount components. Antipads are used mainly with vias.
       For traces, pads are used to make the electrical connection between the via and the trace or
       plane shape on a given layer. For a via to make a solid connection to a trace on a PCB layer,
       a pad must be present for mechanical stability. The size of the pad must meet drill
       tolerance/registration restrictions.
       Antipads are used in planes. Because plane and planelet copper is otherwise uninterrupted,
       any via traveling through the copper makes an electrical connection to it. Where vias are
       not intended to make an electrical connection to the planes or planelets passed through, an
       antipad removes copper in the area of the layer where the via penetrates.
       Lands
       For the purposes of soldering surface mount components, pads on outer layers are typically
       referred to as lands or solder lands. Making electrical connections to these lands usually
       requires vias. Due to manufacturing constraints of PTH technology, it is rarely possible to
       place a via inside the area of the land. Instead, this technology uses a short section of trace
       connecting to a surface pad. The minimum length of the connecting trace is determined by
       minimum dimension specifications from the PCB manufacturer. Microvia technology is not
       constrained, and vias can be placed directly in the area of a solder land.
       Dimensions
       The major factors defining the dimensions of the PCB are PCB manufacturing limits, FPGA
       package geometries, and system compliance. Other factors such as Design For
       Manufacturing (DFM) and reliability impose further limits, but because these are
       application specific, they are not documented in this user guide.
       The dimensions of the FPGA package, in combination with PCB manufacturing limits, define
       most of the geometric aspects of the PCB structures described in this section (PCB
       Structures), both directly and indirectly. This significantly constrains the PCB designer. The
       package ball pitch (1.0 mm for FF packages) defines the land pad layout. The minimum
       surface feature sizes of current PCB technology define the via arrangement in the area
       under the device. Minimum via diameters and keep-out areas around those vias are defined
       by the PCB manufacturer. These diameters limit the amount of space available in-between
       vias for routing of signals in and out of the via array underneath the device. These diameters
       define the maximum trace width in these breakout traces. PCB manufacturing limits
       constrain the minimum trace width and minimum spacing.
       The total number of PCB layers necessary to accommodate an FPGA is defined by the
       number of signal layers and the number of plane layers.
       •   The number of signal layers is defined by the number of I/O signal traces routed in and
           out of an FPGA package (usually following the total User I/O count of the package).
       •   The number of plane layers is defined by the number of power and ground plane layers
           necessary to bring power to the FPGA and to provide references and isolation for signal
           layers.
       System compliance often defines the total thickness of the board. Along with the number of
       board layers, this defines the maximum layer thickness, and therefore, the spacing in the Z
       direction of signal and plane layers to other signal and plane layers. Z-direction spacing of
       signal trace layers to other signal trace layers affects crosstalk. Z-direction spacing of signal
       trace layers to reference plane layers affects signal trace impedance. Z-direction spacing of
       plane layers to other plane layers affects power system parasitic inductance.
       Z-direction spacing of signal trace layers to reference plane layers (defined by total board
       thickness and number of board layers) is a defining factor in trace impedance.Trace width
       (defined by FPGA package ball pitch and PCB via manufacturing constraints) is another
       factor in trace impedance. A designer often has little control over trace impedance in area
       of the via array beneath the FPGA. When traces escape the via array, their width can change
       to the width of the target impedance (usually 50Ω single-ended).
       Decoupling capacitor placement and discrete termination resistor placement are other
       areas of trade-off optimization. DFM constraints often define a keep-out area around the
       perimeter of the FPGA (device footprint) where no discrete components can be placed. The
       purpose of the keep-out area is to allow room for assembly and rework where necessary.
       For this reason, the area just outside the keep-out area is one where components compete
       for placement. It is up to the PCB designer to determine the high priority components.
       Decoupling capacitor placement constraints are described in Chapter 1, Power Distribution
       System in UltraScale Devices. Termination resistor placement constraints must be
       determined through signal integrity simulation, using IBIS or SPICE.
       Noise Limits
       In the same way that devices in a system have a requirement for the amount of current
       consumed by the power system, there is also a requirement for the cleanliness of the power.
       This cleanliness requirement specifies a maximum amount of noise present on the power
       supply, often referred to as ripple voltage (VRIPPLE). Most digital devices, including all
       UltraScale architecture-based devices, require that VCC supplies not fluctuate more than the
       specifications documented in the device data sheet.
       The power consumed by a digital device varies over time and this variance occurs on all
       frequency scales, creating a need for a wide-band PDS to maintain voltage stability.
       Because the voltage level of V CC for a device is fixed, changing power demands are
       manifested as changing current demand. The PDS must accommodate these variances of
       current draw with as little change as possible in the power-supply voltage.
       When the current draw in a device changes, the PDS cannot respond to that change
       instantaneously. As a consequence, the voltage at the device changes for a brief period
       before the PDS responds. Two main causes for this PDS lag correspond to the two major
       PDS components: the voltage regulator and decoupling capacitors.
       The first major component of the PDS is the voltage regulator. The voltage regulator
       observes its output voltage and adjusts the amount of current it is supplying to keep the
       output voltage constant. Most common voltage regulators make this adjustment in
       milliseconds to microseconds. Voltage regulators effectively maintain the output voltage
       for events at all frequencies from DC to a few hundred kHz, depending on the regulator
       (some are effective at regulating in the low MHz). For transient events that occur at
       frequencies above this range, there is a time lag before the voltage regulator responds to
       the new current demand level.
       For example, if the device’s current demand increases in a few hundred picoseconds, the
       voltage at the device sags by some amount until the voltage regulator can adjust to the
       new, higher level of required current. This lag can last from microseconds to milliseconds. A
       second component is needed to substitute for the regulator during this time, preventing
       the voltage from sagging.
       This second major PDS component is the decoupling capacitor (also known as a bypass
       capacitor). The decoupling capacitor works as the device’s local energy storage. The
       capacitor cannot provide DC power because it stores only a small amount of energy
       (voltage regulator provides DC power). This local energy storage should respond very
       quickly to changing current demands. The capacitors effectively maintain power-supply
       voltage at frequencies from hundreds of kHz to hundreds of MHz (in the milliseconds to
       nanoseconds range). Discrete decoupling capacitors are not useful for events occurring
       above or below this range.
       For example, if current demand in the device increases in a few picoseconds, the voltage at
       the device sags by some amount until the capacitors can supply extra charge to the device.
       If current demand in the device maintains this new level for many milliseconds, the voltage-
       regulator circuit, operating in parallel with the decoupling capacitors, replaces the
       capacitors by changing its output to supply this new level of current.
       Figure 9-1 shows the major PDS components: the voltage regulator, the decoupling
       capacitors, and the active device being powered (FPGA).
       X-Ref Target - Figure 9-1
LREGULATOR LDECOUPLING
                                                   +
                                    Voltage    V
                                   Regulator                                            CDECOUPLING             FPGA
UG583_c3_01_112113
ltransient
                                                                                               +
                                                             +                        ZP(f)    VRIPPLE
                                                         V                                     −
FPGA
UG583_c3_02_112113
       Role of Inductance
       Inductance is the electrical property of conductors by which a changing magnetic field
       creates an electromagnetic force or voltage. This field opposes the change of the current in
       the current path. Inductance is the reason why capacitors cannot respond instantaneously
       to transient currents or to changes that occur at frequencies higher than their effective
       range.
       Inductances occur between the FPGA device and capacitors and between the capacitors and
       the voltage regulator (see Figure 9-2). These inductances occur as parasitics in the
       capacitors and in all PCB current paths. It is important that each of these parasitics be
       minimized.
- or -
       •   For a specific package size (essentially a fixed inductance value), choose the highest
           capacitance value available in that package.
       Surface-mount chip capacitors are the smallest capacitors available and are a good choice
       for discrete decoupling capacitors:
       •   For values from 100 µF to very small values such as 0.01 µF, ceramic X7R or X5R type
           capacitors are usually used. These capacitors have a low parasitic inductance and a low
           ESR, with an acceptable temperature characteristic.
       •   For larger values, such as 47 µF to 1000 µF, tantalum capacitors are usually used. These
           capacitors have a low parasitic inductance and a medium ESR, giving them a low Q
           factor and consequently a very wide range of effective frequencies.
       of these capacitors sets the limit of switching regulator ripple and switching noise along
       with regulator FET and output inductor design.
       A real capacitor of any type then not only has capacitance characteristics but also
       inductance and resistance characteristics. Figure 9-3 shows the parasitic model of a real
       capacitor. A real capacitor should be treated as an RLC circuit (a circuit consisting of a
       resistor (R), an inductor (L), and a capacitor (C), connected in series).
       X-Ref Target - Figure 9-3
ESR
ESL
                                                                             C
                                                                                      UG583_c3_03_112113
                                                                                                           Inductive
                                                                                                           Contribution (ESL)
                                             Impedance
                                                                                                           Capacitive
                                                                                                           Contribution (C)
                                                                          Frequency
                                                                                                                UG583_c3_04_112113
       As different capacitor values are selected in the same package, the capacitive curve moves
       up and down against the fixed inductance curve, as shown in Figure 9-5.
       X-Ref Target - Figure 9-5
Z Value at F2 is Equal
                                                                          0805
                                                                          0.47 μF
                                                                 0805
                                           Impedance (Z)         4.7 μF
                                                                                                    Inductive
                                                                                                     Portion
                                                                                         F2
                                                                           Frequency
                                                                                               UG583_c3_05_111114
       •                   Capacitor mounting
       •                   PCB power and ground planes
       •                   FPGA mounting
       The vias, traces, and capacitor mounting pads of a 2-terminal capacitor contribute
       inductance between 300 pH to 4 nH depending on the specific pad routing, via structure
       and PCB stackup.
       Because the current path’s inductance is proportional to the loop area the current traverses,
       it is important to minimize this loop size. The loop consists of the path through one power
       plane, up through one via, through the connecting trace to the land, through the capacitor,
       through the other land and connecting trace, down through the other via, and into the
       other plane, as shown in Figure 9-6.
       X-Ref Target - Figure 9-6
Via
GND
                                                                                                                     VCC
                                            PCB
       Some PCB manufacturing processes allow via-in-pad geometries, an option for reducing
       parasitic inductance. Using multiple vias per land is important with ultra-low inductance
       capacitors, such as reverse aspect ratio capacitors that place wide terminals on the sides of
       the capacitor body instead of the ends.
       PCB layout engineers often try to squeeze more parts into a small area by sharing vias
       among multiple capacitors. This technique should not be used under any circumstances. PDS
       improvement is very small when a second capacitor is connected to an existing capacitor’s
       vias. For a larger improvement, optimize the total number of capacitors and improve the
       mounting via inductance path.
       The capacitor mounting (lands, traces, and vias) typically contributes about the same
       amount or more inductance than the capacitor's own parasitic self-inductance. If the
       mounting via structure is not optimized, the capacitor might not be effective at all to PDS.
       Plane Inductance
       Some inductance is associated with the PCB power and ground planes. The geometry of
       these planes determines their inductance.
       Current spreads out as it flows from one point to another (due to a property similar to skin
       effect) in the power and ground planes. Inductance in planes can be described as spreading
       inductance and is specified in units of henries per square. The square is dimensionless; the
       shape of a section of a plane, not the size, determines the amount of inductance.
       Spreading inductance acts like any other inductance and resists changes to the amount of
       current in a power plane (the conductor). The inductance retards the capacitor’s ability to
       respond to a device’s transient currents and should be reduced as much as possible.
       Because the designer’s control over the X-Y shape of the plane can be limited, the only
       controllable factor is the spreading inductance value. This is determined by the thickness of
       the dielectric separating a power plane from its associated ground plane.
       For high-frequency power distribution systems, power and ground planes work in pairs,
       with their inductances coexisting dependently with each other. The spacing between the
       power and ground planes determines the pair’s spreading inductance. The closer the
       spacing (the thinner the dielectric), the lower the spreading inductance. Approximate values
       of spreading inductance for different thicknesses of FR4 dielectric are shown in Table 9-1.
       Table 9-1: Capacitance and Spreading Inductance Values for Different Thicknesses of FR4
       Power-Ground Plane Sandwiches
              Dielectric Thickness          Inductance              Capacitance
            (micron)          (mil)         (pH/square)        (pF/in2)      (pF/cm2)
              102               4               130              225              35
               51               2                65              450              70
               25               1                32              900            140
       Besides offering a low-inductance current path, power-ground sandwiches also offer some
       high-frequency decoupling capacitance. As the plane area increases and as the separation
       between power and ground planes decreases, the value of this capacitance increases.
       Capacitance per square inch is shown in Table 9-1. However, the amount of capacitance
       arising from these PCB power-ground plane pairs is generally inconsequential, given the
       substrate decoupling capacitors present in UltraScale architecture-based devices.
       The relevant via length is the portion of the via that carries transient current between the
       FPGA solder land and the associated V CC or GND plane. Any remaining via (between the
       power plane and the PCB backside) does not affect the parasitic inductance of the via (the
       shorter the via between the solder lands and the power plane, the smaller the parasitic
       inductance). Parasitic via inductance in the FPGA mounting is reduced by keeping the
       relevant VCC and GND planes as close to the FPGA as possible (close to the top of the PCB
       stackup).
       Device pinout arrangement determines the proximity of opposing current paths to one
       another. Inductance is associated with any two opposing currents (for example, current
       flowing in a VCC and GND via pair). A high degree of mutual inductive coupling between the
       two opposing paths reduces the loop’s total inductance. Therefore, when given a choice,
       VCC and GND vias should be as close together as possible.
       The via field under an FPGA has many V CC and GND vias, and the total inductance is a
       function of the proximity of one via to another:
       •   For core VCC supplies (VCCINT and V CCAUX ), opposing current is between the VCC and
           GND pins.
       •   For I/O VCC supplies (V CCO ), opposing current is between any I/O and its return current
           path, whether carried by a VCCO or GND pin.
       •   Core VCC pins such as VCCINT and VCCAUX are placed in a checkerboard arrangement in
           the pinout.
       •   VCCO and GND pins are distributed among the I/O pins.
       Every I/O pin in the Kintex UltraScale and Virtex UltraScale FPGA pinouts is adjacent to a
       return-current pin.
       FPGA pinout arrangement determines the PCB via arrangement. The PCB designer cannot
       control the proximity of opposing current paths but has control over the trade-offs between
       the capacitor’s mounting inductance and FPGA’s mounting inductance:
       •   Both mounting inductances are reduced by placing power planes close to the PCB
           stackup’s top half and placing the capacitors on the top surface (reducing the
           capacitor’s via length).
       •   If power planes are placed in the PCB stackup’s bottom half, the capacitors are
           recommended to be mounted on the PCB backside. In this case, FPGA mounting vias
           are already long, and making the capacitor vias long (by coming down from the top
           surface) is a bad practice. A better practice is to take advantage of the short distance
           between the underside of the PCB and the power plane of interest, mounting
           capacitors on the underside.
       •   High-priority supplies should be placed closer to the FPGA (in the PCB stackup’s top
           half)
       •   Low-priority supplies should be placed farther from the FPGA (in the PCB stackup’s
           bottom half)
       Power supplies with high transient current should have the associated V CC planes close to
       the top surface (FPGA side) of the PCB stackup. This decreases the vertical distance (V CC and
       GND via length) that currents travel before reaching the associated VCC and GND planes. To
       reduce spreading inductance, every VCC plane should have an adjacent GND plane in the
       PCB stackup. The skin effect causes high-frequency currents to couple tightly, and the GND
       plane adjacent to a specific VCC plane tends to carry the majority of the current
       complementary to that in the V CC plane. Thus, adjacent VCC and GND planes are treated as
       a pair.
       Not all V CC and GND plane pairs reside in the PCB stackup’s top half because manufacturing
       constraints typically require a symmetrical PCB stackup around the center (with respect to
       dielectric thicknesses and etched copper areas). The PCB designer chooses the priority of
       the V CC and GND plane pairs: high priority pairs carry high transient currents and are placed
       high in the stackup, while low priority pairs carry lower transient currents (or can tolerate
       more noise) and are placed in the lower part of the stackup.
       An ideal capacitor only has a capacitive characteristic, whereas real non-ideal capacitors
       also have a parasitic inductance (ESL) and a parasitic resistance (ESR). These parasitics work
       in series to form an RLC circuit (Figure 9-4). The RLC circuit’s resonant frequency is the
       capacitor’s self-resonant frequency.
       The capacitor’s self-resonant frequency, F RSELF , (capacitor data sheet value) is much higher
       than its effective mounted resonant frequency in the system, FRIS . Because the mounted
       capacitor's performance is most important, the mounted resonant frequency is used when
       evaluating a capacitor as part of the greater PDS.
       To determine the capacitor’s total parasitic inductance in the system, LIS , the capacitor's
       parasitic inductance, LSELF, is added to the mounting’s parasitic inductance, LMOUNT :
For example, using X7R Ceramic Chip capacitor in 0402 body size:
To determine the effective in-system parasitic inductance (L IS), add the via parasitics:
       The values from the example are used to determine the mounted capacitor resonant
       frequency (FRIS ). Using Equation 9-4:
                                                                                 1
                                                               F RIS = -----------------------                                                        Equation 9-4
                                                                       2π L IS C
                                                                             1                                                      6
                          F RIS = ----------------------------------------------------------------------------------------- = 38 ×10 Hz               Equation 9-5
                                                                    –9                                         –6
                                  2π ( 1.7 ×10 H ) ⋅ ( 0.01 ×10 F )
       F RSELF is 53 MHz, but F RIS is lower at 38 MHz. The addition of mounting inductances shifts
       the effective-frequency band down.
       A decoupling capacitor is most effective at the narrow-frequency band around its resonant
       frequency, and thus, the resonant frequency must be reviewed when choosing a capacitor
       collection to build up a decoupling network. This being said, capacitors can be effective at
       frequencies considerably higher and lower than their resonant frequency. Recall that
       capacitors of differing values in the same package share the same inductance curve. As
       shown in Figure 9-5, for any given frequency along the inductive portion of the curve, the
       capacitors are equally effective.
       Capacitor Anti-Resonance
       One problem associated with combinations of capacitors in a PDS of an FPGA is anti-
       resonant spikes in the PDS aggregate impedance. The cause for these spikes is a bad
       combination of energy storage elements in the PDS (intrinsic capacitances, discrete
       capacitors, parasitic inductances, and power and ground planes).
       Anti-resonance can arise between any two consecutive stages of a power distribution
       system, such as between the high-frequency PCB capacitors and the PCB plane capacitance.
       The inter-plane capacitance of the power and ground planes generally has a high-Q factor.
       If the high-frequency PCB capacitors also are high-Q, the crossover point between the high-
       frequency discrete capacitors and the plane capacitance might exhibit a high-impedance
       anti-resonance peak. If the FPGA has a high transient current demand at this frequency (as
       a stimulus), a large noise voltage can occur.
       To correct this type of problem, the characteristics of the high-frequency discrete capacitors
       or the characteristics of the V CC and ground planes must be changed, or FPGA activity
       shifted to a different frequency away from the resonance.
       Increased spacing between the FPGA and decoupling capacitor increases the current flow
       distance in the power and ground planes, and it often increases the current path’s
       inductance between the device and the capacitor.
       The inductance of this current path (the loop followed by current as it travels from the VCC
       side of the capacitor to the V CC pin[s] of the FPGA, and from the GND pin[s] of the FPGA to
       the GND side of the capacitor[s]), is proportional to the loop area. Inductance is decreased
       by decreasing the loop area.
       Shortening the distance between the device and the decoupling capacitor reduces the
       inductance, resulting in a less impeded transient current flow. Because of typical PCB
       dimensions, this lateral plane travel tends to be less important than the phase relationship
       between the FPGA noise source and the mounted capacitor.
       The phase relationship between the FPGA’s noise source and the mounted capacitor
       determines the capacitor’s effectiveness. For a capacitor to be effective in providing
       transient current at a certain frequency (for example, the capacitor’s resonant frequency),
       the phase relationship, based on the distance travelled by the current from the FPGA to the
       capacitor, must be within a fraction of the corresponding period.
       The capacitor’s placement determines the length of the transmission line interconnect (in
       this case, the power and ground plane pair) between the capacitor and FPGA. The
       propagation delay of this interconnect is the key factor.
       FPGA noise falls into certain frequency bands, and different sizes of decoupling capacitors
       take care of different frequency bands. Thus, capacitor placement requirements are
       determined by each capacitor’s effective frequency.
       When the FPGA initiates a current demand change, it causes a small local disturbance in the
       PDS voltage (a point in the power and ground planes). Before it can counteract this, the
       decoupling capacitor must first sense a voltage difference.
       A finite time delay (Equation 9-6) occurs between the start of the disturbance at the FPGA
       power pins and the point when the capacitor senses the disturbance.
                                Distance from the FPGA power pins to the capacitor
                   Time Delay = ------------------------------------------------------------------------------------------------------------------             Equation 9-6
                                    Signal propagation speed through FR4 dielectric
       The dielectric is the substrate of the PCB where the power planes are embedded.
       Another delay of the same duration occurs when the compensation current from the
       capacitor flows to the FPGA. For any transient current demand in the FPGA, a round-trip
       delay occurs before any relief is seen at the FPGA.
       •   Negligible energy is transferred to the FPGA with placement distances greater than one
           quarter of a demand frequency’s wavelength.
       •   Energy transferred to the FPGA increases from 0% at one-quarter of a wavelength to
           100% at zero distance.
       •   Energy is transferred efficiently from the capacitor to the FPGA when capacitor
           placement is at a fraction of a quarter wavelength of the FPGA power pins. This fraction
           should be small because the capacitor is also effective at some frequencies (shorter
           wavelengths) above its resonant frequency.
       One-tenth of a quarter wavelength is a good target for most practical applications and
       leads to placing a capacitor within one-fortieth of a wavelength of the power pins it is
       decoupling. The wavelength corresponds to the capacitor's mounted resonant frequency,
       F RIS .
       When using large numbers of external termination resistors or passive power filtering for
       transceivers, priority should be given to these over the decoupling capacitors. Moving away
       from the device in concentric rings, the termination resistors and transceiver supply
       filtering should be closest to the device, followed by the smallest-value decoupling
       capacitors, then the larger-value decoupling capacitors.
       This only applies when Internal VREF is not used. Internal V REF is an UltraScale architecture
       feature wherein the reference voltage rail is generated internally. See UltraScale
       Architecture SelectIO User Guide (UG571) [Ref 10] for more details on Internal VREF.
       supply noise—in particular, any noise on the VCCO rail should not violate the recommended
       operating condition range for the V CCAUX supply. See the data sheet for these requirements.
       Leaving the V CCO pins of unused I/O banks floating reduces the level of ESD protection on
       these pins and the I/O pins in the bank. For maximum ESD protection in an unused bank, all
       VCCO pins in that bank should be connected together to the same potential, whether that be
       ground, a valid VCCO voltage, or a floating plane. I/O pins are also recommended to be
       connected to the same potential as V CCO, or they can be left floating.
       Transmission Lines
       The combination of a signal trace and a reference plane forms a transmission line. All I/O
       signals in a PCB system travel through transmission lines.
       For single-ended I/O interfaces, both the signal trace and the reference plane are necessary
       to transmit a signal from one place to another on the PCB. For differential I/O interfaces, the
       transmission line is formed by the combination of two traces and a reference plane. While
       the presence of a reference plane is not strictly necessary in the case of differential signals,
       it is necessary for practical implementation of differential traces in PCBs.
       Good signal integrity in a PCB system is dependent on having transmission lines with
       controlled impedance. Impedance is determined by the geometry of the traces and the
       dielectric constant of the material in the space around the signal trace and between the
       signal trace and the reference plane.
       The dielectric constant of the material in the vicinity of the trace and reference plane is a
       property of the PCB laminate materials, and in the case of surface traces, a property of the
       air or fluid surrounding the board. PCB laminate is typically a variant of FR4, though it can
       also be an exotic material.
       While the dielectric constant of the laminate varies from board to board, it is fairly constant
       within one board. Therefore, the relative impedance of transmission lines in a PCB is defined
       most strongly by the trace geometries and tolerances. Impedance variance can occur based
       on the presence or absence of glass in a local portion of the laminate weave, but this rarely
       poses issues except in high-speed (>6 Gb/s) interfaces.
       Return Currents
       An often neglected aspect of transmission lines and their signal integrity is return current.
       It is incorrect to assume that a signal trace by itself forms a transmission line. Currents
       flowing in a signal trace have an equal and opposite complimentary current flowing in the
       reference plane beneath them. The relationship of the trace voltage and trace current to
       reference plane voltage and reference plane current defines the characteristic impedance of
       the transmission line formed by the trace and reference plane. While interruption of
       reference plane continuity beneath a trace is not as dramatic in effect as severing the signal
       trace, the performance of the transmission line and any devices sharing the reference plane
       is affected.
       It is important to pay attention to reference plane continuity and return current paths.
       Interruptions of reference plane continuity, such as holes, slots, or isolation splits, cause
       significant impedance discontinuities in the signal traces. They can also be a significant
       source of crosstalk and contributor to Power Distribution System (PDS) noise. The
       importance of return current paths cannot be underestimated.
           f = Frequency in GHz
           T = The smaller of signal rise (T r) or fall (Tf) time in ns
       Because dielectric losses in a PCB are frequency dependent, a bandwidth of concern must
       be determined to find the total loss of the PCB. Frequencies must start at the operation
       frequency and extend to the frequency in Equation 9-7. For example, a 10 Gb/s signal with
       a 10 ps rise time has a bandwidth from 10 GHz to 35 GHz.
       Dielectric Losses
       The amount of signal energy lost into the dielectric is a function of the material’s
       characteristics. Some parameters used to describe the material include relative permittivity
       ε r (also known as the dielectric constant) and loss tangent. Skin effect is also a contributor
       to energy loss at line speeds in the gigahertz range.
       Relative Permittivity
       Relative permittivity is a measure of the effect of the dielectric on the capacitance of a
       conductor. The higher the relative permittivity, the slower a signal travels on a trace and the
       lower the impedance of a given trace geometry. A lower ε r is almost always preferred.
       Although the relative permittivity varies with frequency in all materials, FR4 exhibits wide
       variations in ε r with frequency. Because ε r affects impedance directly, FR4 traces can have a
       spread of impedance values with increasing frequency. While this spread can be
       insignificant at 1.125 Gb/s, it can be a concern at 10 Gb/s operation.
       Loss Tangent
       Loss tangent is a measure of how much electromagnetic energy is lost to the dielectric as it
       propagates down a transmission line. A lower loss tangent allows more energy to reach its
       destination with less signal attenuation.
       As frequency increases, the magnitude of energy loss increases as well, causing the highest
       frequency harmonics in the signal edge to suffer the most attenuation. This appears as a
       degradation in the rise and fall times.
       As current density near the surface increases, the effective cross-sectional area through
       which current flows decreases. Resistance increases because the effective cross-sectional
       area of the conductor is now smaller. Because this skin effect is more pronounced as
       frequency increases, resistive losses increase with signaling rates.
       Resistive losses have a similar effect on the signal as loss tangent. Rise and fall times
       increase due to the decreased amplitude of the higher harmonics, with the highest
       frequency harmonics being most affected. In the case of 10 Gb/s signals, even the
       fundamental frequency can be attenuated to some degree when using FR4.
       For example, an 8 mil wide trace at 1 MHz has a resistance on the order of 0.06Ω /inch, while
       the same trace at 10 Gb/s has a resistance of just over 1Ω /inch. Given a 10 inch trace and
       1.6V voltage swing, a voltage drop of 160 mV occurs from resistive losses of the
       fundamental frequency, not including the losses in the harmonics and dielectric loss.
       FR4, the most common PCB substrate material, provides good performance with careful
       system design. For long trace lengths or high signaling rates, a more expensive substrate
       material with lower dielectric loss must be used.
       Substrates, such as Nelco, have lower dielectric loss and exhibit significantly less
       attenuation in the gigahertz range, thus increasing the maximum bandwidth of PCBs. At
       3.125 Gb/s, the advantages of Nelco over FR4 are added voltage swing margin and longer
       trace lengths. At 10 Gb/s, a low-loss dielectric like Nelco is necessary unless high-speed
       traces are kept very short.
       The choice of substrate material depends on the total length of the high-speed trace and
       also the signaling rate.
       What-if analysis can be done in HSPICE simulation to evaluate various substrate materials.
       By varying the dielectric constant, loss tangent, and other parameters of the PCB substrate
       material. The impact on eye quality can be simulated to justify the use of higher cost
       materials. The impact of other parameters such as copper thickness can also be explored.
       Traces
       Trace Geometry
       For any trace, its characteristic impedance is dependent on its stackup geometry as well as
       the trace geometry. In the case of differential traces, the inductive and capacitive coupling
       between the tightly coupled pair also determines the characteristic impedance of the
       traces.
       The impedance of a trace is determined by its inductive and capacitive coupling to nearby
       conductors. For example, these conductors can be planes, vias, pads, connectors, and other
       traces, including the other closely coupled trace in a differential pair. The substrate
       properties, conductor properties, flux linkage area, and distance to a nearby conductor
       determine the amount of coupling and hence, the contribution to the final impedance.
       2D field solvers are necessary in resolving these complex interactions and contribute to the
       calculation of the final impedance of the trace. They are also a useful tool to verify existing
       trace geometries.
       Wider traces create a larger cross-sectional area for current to flow and reduce resistive
       losses in high-speed interfaces. Use the widest traces that space constraints allow. Because
       trace width tolerances are expressed in absolute terms, a wider trace also minimizes the
       percentage variation of the manufactured trace, resulting in tighter impedance control
       along the length of the transmission line.
       Sometimes, striplines are preferred over microstrips because the reference planes on both
       sides of the trace provide radiation shielding. Microstrips are shielded on only one side (by
       the reference plane) because they run on the top-most or bottom-most layers, leaving the
       other side exposed to the environment.
For best results, the use of a 2D or 3D field solver is recommended for verification.
       With few exceptions, 50Ω characteristic impedance (Z0) is used for transmission lines in the
       channel. In general, when the width/spacing (W/S) ratio is greater than 0.4 (8 mil wide
       traces with 20 mil separation), coupling between the P and N signals affects the trace
       impedance. In this case, the differential traces must be designed to have an odd mode
       impedance (Z 0O) of 50Ω , resulting in a differential impedance (Z DIFF) of 100Ω , because
       Z DIFF = 2 x Z 0O.
       The same W/S ratio also must be less than 0.8, otherwise strong coupling between the
       traces requires narrower, lossier traces for a Z 0O of 50Ω . To clarify, with Z0O at 50Ω , an even
       mode impedance (Z 0E) of 60Ω or below is desired.
       Figure 9-7 through Figure 9-10 show example cross sections of differential structures.
       X-Ref Target - Figure 9-7
                                                 h     w       s       w
                                                                                        Er
                                   d=2h+t                                       t
                                                 h
UG583_c3_07_112113
h orthogonal lines t
                                                                    w             s        w    t
                                                   h
                                    d=3h+2t
                                                                                                               Er
                                                       h
                                                                                                        UG583_c3_08_112113
                                                                        h         w
                                                            t                                              Er
                                     d=4h+2t                                               2h
                                                                                                    t
                                                                        h
                                                                                                        UG583_c3_09_112113
                                               t
                                                                w             s        w            Er = 1
h Er
UG583_c3_10_112113
       Trace Routing
       High-speed serial differential traces are routed with the highest priority to ensure that the
       optimal path is available to these critical traces. This reduces the need for bends and vias
       and minimizes the potential for impedance transitions. Traces must be kept straight, short,
       and with as few layer changes as possible. The impact of vias is discussed in Differential
       Vias, page 286.
       Routing of high-speed traces must be avoided near other traces or other potential sources
       of noise. Traces on neighboring signal planes should run perpendicular to minimize
       crosstalk.
       Striplines are to be used whenever possible, as are the uppermost and lowermost stripline
       layers to minimize via stubs. When the stackup is being planned, these layers must be
       placed as close to the top and bottom layers whenever possible.
       Design constraints might require microstrips for the BGA exit path or from via to connector
       launch or SMT pads. In such cases, the microstrip trace must be kept as short as possible.
       The two traces of a differential pair must be length-matched to eliminate skew. Skew creates
       mismatches in the common mode and reduces the differential voltage swing as a result.
       Plane Splits
       Ground planes should be used as reference planes for signals, as opposed to noisier power
       planes. Each reference plane should be contiguous for the length of the trace, because
       routing over plane splits creates an impedance discontinuity. In this case, the impedance of
       the trace changes because its coupling to the reference plane is changed abruptly at the
       plane split.
       Return Currents
       Routing over plane splits also creates issues with the return current. High-speed signals
       travel near the surface of the trace due to the skin effect mentioned in Dielectric Losses,
       page 263. Meanwhile, the return current also travels near the surface of the tightly coupled
       reference plane.
       Because of the tight coupling, the return current has the tendency to travel close to the
       original signal-carrying trace. At the plane split, the return current can no longer follow the
       same path parallel to the trace, but must instead find an alternative route.
       A plane split causes a suboptimal current return path and increases the current loop area,
       thereby increasing the inductance of the trace at the plane split, changing the impedance of
       the trace.
       models accurately reflect actual losses. One method is to compare the models against
       known published configurations.
       Cable
       Cables are controlled-impedance transmission lines due to the constant physical
       dimensions of conductor and dielectric along the length of the cable. The highest quality
       cable shows little variation in these dimensions and also has a wide bandwidth with low loss
       at high frequencies.
       Connectors
       The connectors attached to cables should exhibit low parasitic inductance, low-parasitic
       capacitance, and low crosstalk for high bandwidth operation.
       Simulation Methods
       Simulation methods, ranging from very simple to very complex, exist to predict the PDS
       characteristics. An accurate simulation result is difficult to achieve without using a fairly
       sophisticated simulator and taking a significant amount of time.
       Basic lumped RLC simulation is one of the simplest simulation methods. Though it does not
       account for the distributed behavior of a PDS, it is a useful tool for selecting and verifying
       that combinations of decoupling capacitor values will not lead to large anti-resonances.
       Lumped RLC simulation is a good method for establishing equivalence of decoupling
       networks, such as evaluating an alternative to the capacitors of Table 1-12.
       Lumped RLC simulation is performed either in a version of SPICE or other circuit simulator,
       or by using a mathematical tool like MathCAD or Microsoft Excel. Istvan Novak publishes a
       free Excel spreadsheet for lumped RLC simulation (among other useful tools for PDS
       simulation) on his website under Tool Download:
http://www.electrical-integrity.com
       Table 9-2 also lists a few EDA tool vendors for PDS design and simulation. These tools span
       a wide range of sophistication levels.
       PDS Measurements
       Measurements can be used to determine whether a PDS is adequate. PDS noise
       measurements are a unique task, and many specialized techniques have been developed.
       This section describes the noise magnitude and noise spectrum measurements.
       VCCINT and VCCAUX can only be measured at the PCB backside vias. VCCO can also be
       measured this way, but more accurate results are obtained by measuring static (fixed logic
       level) signals at unused I/Os in the bank of interest.
       When making the noise measurement on the PCB backside, the via parasitics in the path
       between the measuring point and FPGA must be considered. Any voltage drop occurring in
       this path is not accounted for in the oscilloscope measurement.
       PCB backside via measurements also have a potential problem: decoupling capacitors are
       often mounted directly underneath the device, meaning the capacitor lands connect
       directly to the VCC and GND vias with surface traces. These capacitors confuse the
       measurement by acting like a short circuit for the high-frequency AC current. To make sure
       the measurements are not shorted by the capacitors, remove the capacitor at the
       measurement site (keep all others to reflect the real system behavior).
       When measuring VCCO noise, the measurement can be taken at an I/O pin configured as a
       driver to logic 1 or logic 0. In most cases, the same I/O standard should be used for this
       measurement as for the other signals in the bank. Measuring a static logic 0 shows the
       crosstalk (via field, PCB routing, package routing) induced on the victim. Measuring a static
       logic 1 shows all the same crosstalk components as well as the noise present on the VCCO
       net for the I/O bank. By subtracting (coherently in time) the noise measured on static logic
       0 from the noise measured on static logic 1, the noise on V CCO at the die can be viewed. For
       an accurate result, the static logic 0 and static logic 1 noise must be measured at the same
       I/O location. This means storing the time-domain waveform information from both logic
       states and performing the subtraction operation on the two waveforms in a post-process
       math computation tool such as MATLAB or Excel.
       There are two basic ways of using the oscilloscope to view power system noise, each for a
       different purpose. The first surveys all possible noise events, while the second is useful for
       focusing on individual noise sources.
       •                  Place the oscilloscope in infinite persistence mode to acquire all noise over a long time
                          period (many seconds or minutes). If the design operates in many different modes,
                          using different resources in different amounts, these various conditions and modes
                          should be in operation while the oscilloscope is acquiring the noise measurement.
       •                  Place the oscilloscope in averaging mode and trigger on a known aggressor event. This
                          can show the amount of noise correlated with the aggressor event (any events
                          asynchronous to the aggressor are removed through averaging).
       Power system noise measurements should be made at a few different FPGA locations to
       ensure that any local noise phenomena are captured.
       Figure 9-11 shows an averaged noise measurement taken at the V CCO pins of a sample
       design. In this case, the trigger was the clock for an I/O bus interface sending a 1-0-1-0
       pattern at 250 Mb/s.
       X-Ref Target - Figure 9-11
UG583_c3_11_112113
                   Figure 9-11:       Averaged Measurement of V CCO Supply with Multiple I/O Sending Patterns at
                                                              250 Mb/s
       Figure 9-12 shows an infinite persistence noise measurement of the same design with a
       wider variety of I/O activity. Because the infinite persistence measurement catches all noise
       events over a long period, both correlated and non-correlated with the primary aggressor,
       all power system excursions are shown.
       X-Ref Target - Figure 9-12
UG583_c3_12_112113
       The FFT math function can be built into the oscilloscope, however, many of these functions
       do not have resolution sufficient to give a clear picture of the noise spectrum. Alternatively,
       a long sequence of time-domain data can be captured from an oscilloscope and converted
       to frequency domain using MATLAB or other post-processing software supporting FFT. This
       method has the advantage of showing as much resolution as you are willing to process. If
       neither math capacity is available, the noise frequency content can be approximated by
       visually examining the time-domain waveform and estimating the individual periodicities
       present in the noise.
       Excessive noise at a certain frequency indicates a frequency where the PDS impedance is
       too high for the device’s transient current demands. Using this information, the designer
       can modify the PDS to accommodate the transient current at the specific frequency. This is
       accomplished by either adding capacitors with effective frequencies close to the noise
       frequency or otherwise lowering the PDS impedance at the critical frequency.
       The noise spectrum measurement should be taken in the same manner as the peak-to-peak
       noise measurement, directly underneath the device, or at a static I/O driven High or Low. A
       spectrum analyzer takes its measurements using a 50Ω cable instead of an active probe.
       •   A good method attaches the measurement cable through a coaxial connector tapped
           into the power and ground planes close to the device. This is not available in most
           cases.
       •   Another method attaches the measurement cable at the lands of a decoupling
           capacitor in the vicinity of the device that has been removed. The cable’s center
           conductor and shield are soldered directly to the capacitor lands. Alternatively, a probe
           station with 50Ω RF probes can be used to touch the decoupling capacitor lands.
       To protect the spectrum analyzer’s sensitive front-end circuitry, add a DC blocking capacitor
       or attenuator in line. This isolates the spectrum analyzer from the device supply voltage.
UG583_c3_13_112113
       To measure the noise spectrum of the design under operating conditions, use either a
       spectrum analyzer or an oscilloscope with FFT. The power system impedance can be
       determined either through direct measurement or simulation, or a combination of these
       two as there are often many variables and unknowns.
       Both the noise spectrum and the impedance are functions of frequency. By examining the
       quotient of these per frequency point, transient current as a function of frequency is
       computed (Equation 9-8):
                                           V ( f ) From Spectrum Analyzer
                                 I ( f ) = -------------------------------------------------------------------------------------                       Equation 9-8
                                             Z ( f ) From Network Analyzer
       Using the data sheet’s maximum voltage ripple value, the impedance value needed at all
       frequencies can be determined. This yields a target impedance as a function of frequency. A
       specially designed capacitor network can accommodate the specific design’s transient
       current.
       Troubleshooting
       In some cases the proper design work is done up-front, but noise problems still exist. This
       next section describes possible issues and suggested resolution methods.
       •   RAM interfaces with inherently high-transient current demands resulting either from
           temporary periodic contention or high-current drivers
       •   Large ASICs
       When unacceptable amounts of noise are measured locally at these devices, the local PDS
       and the component decoupling networks should be analyzed.
- and/or -
• A current path in the power vias traverses an exceptionally thick PCB stackup
       For inadequate connecting trace geometry and capacitor land geometry, review the loop
       inductance of the current path. If the vias for a decoupling capacitor are spaced a few
       millimeters from the capacitor solder lands on the board, the current loop area is greater
       than necessary.
       To reduce the current loop area, vias should be placed directly against capacitor solder
       lands. Never connect vias to the lands with a section of trace.
       Other improvements of geometry are via-in-pad (via under the solder land), not shown, and
       via-beside-pad (vias straddle the lands instead of being placed at the ends of the lands).
       Double vias also improve connecting trace geometry and capacitor land geometry.
       Exceptionally thick boards (> 3.2 mm or 127 mils) have vias with higher parasitic
       inductance.
       To reduce the parasitic inductance, move critical VCC/GND plane sandwiches close to the
       top surface where the FPGA is located, and place the capacitors on the top surface where
       the FPGA is located.
       If large amounts of noise are present on V CCO , the drive strength of these interfaces should
       be decreased, or different termination should be used (on input or output paths).
       •   Restrict signals to fewer routing layers with verified continuous return current paths.
       •   Provide low-impedance paths for AC currents to travel between reference planes (high-
           frequency decoupling capacitors at PCB locations where layer transitions occur).
       Transmission lines have defined and controlled characteristic impedance along their length.
       However, the three-dimensional structures that they interface do not have easily defined or
       constant impedance along the signal path. Software tools such as 3D field solvers are
       necessary for computing the impedance that a 10 Gb/s signal sees as it passes through
       these structures, while 2D field solvers are sufficient for computing transmission line
       characteristic impedance.
       PCB designers can use the analyses and examples in this chapter to assist the design of such
       a channel. Cases not covered in this chapter might need further simulation and analysis.
       By design, adding inductance cancels this excess capacitance in many cases except when
       impacted by density concerns and physical limitations. While techniques such as blind vias,
       solder balls on a larger pitch, and very small via pads reduce capacitance, they are not
       always feasible in a design.
       A shunt capacitance (see Figure 10-1) causes a momentary dip in the impedance, while a
       series inductance (see Figure 10-2) causes an impedance discontinuity in the opposite
       direction. Td is the propagation delay through the first transmission line segment on the
       left. The reflected wave due to the impedance discontinuity takes 2 * Td to return to the TDR
       port. If the signal propagation speed through the transmission line is known, the location of
       the excess capacitance or inductance along the channel can be calculated.
       X-Ref Target - Figure 10-1
Td
C 50Ω
2Td
UG583_c4_01_112113
50Ω
UG583_c4_02_112113
                                                      t2 V         (t) – V
                                               2
                                        C = – ----       ----tdr
                                                              -------------------step
                                                                                 ------ dt                        Equation 10-1
                                              Z0                    V step
                                                     t1
                                                      t2 V
                                                             tdr ( t ) – V step
                                         L = 2Z 0        -----
                                                              ------------------------ dt
                                                                   V step
                                                                                                                   Equation 10-2
                                                     t1
t1 t2
       BGA Package
       Each signal path within the BGA package is carefully designed to optimize signal integrity.
       Traces supporting single-ended I/O are nominally designed for 50Ω trace impedance.
       Traces supporting high-speed SERDES I/O are designed for nominally 100Ω differential
       impedance. Special care is taken in the design of signal paths to optimize discontinuities
       such as solder balls and substrate vias to minimize their effect on signal integrity. A 3D
       full-wave electromagnetic solver and a vector network analyzer are used to model and
       measure package performance.
       SMT Pads
       For applications that require AC coupling between transmitter and receiver, SMT pads are
       introduced in the channel to allow coupling capacitors to be mounted. Standard SMT pads
       have excess capacitance due to plate capacitance to a nearby reference plane. In the
       Figure 10-4 example, a 5 mil trace with a Z0 of 50Ω transitions to an 0402 SMT pad that is
       28 mils wide, all over 3 mils of FR4.
       X-Ref Target - Figure 10-4
                                                                                                 5 Mil Trace
                                    Line
                                     -     5.2 mils wide over 3 mil FR4 Dielectric
                                     -     L = 288 nH/m
                                     -     C = 116 pF/m
                                     -     Zo = 50Ω
                                                                                                 28 Mil Pad
                                    Pad
                                     -     28 mils wide over 3 mil FR4
                                     -     L = 98 nH/m
                                     -     C = 404 pF/m
                                     -     Zo = 16Ω
                                                                                                                  UG583_c4_04_112113
       The first method makes the trace the same width as the pad and moves the ground plane
       deeper into the stackup to maintain the Z 0 of the transition at 50Ω. This method does not
       require any special analysis, but there might be some error due to the fringing capacitance
       of the SMT capacitor body. Trace density is limited because traces are now 28 mils wide.
       The second method, shown in Figure 10-5, clears the ground plane underneath the pad,
       which removes much of the excess capacitance caused by the plate capacitance between
       the pad and the ground plane. This technique allows for greater trace density than the first
       method, but requires 3D field-solver analysis or measurement along with several board
       iterations to get the desired performance.
       X-Ref Target - Figure 10-5
28 Mil Pad
                                              - L = 241 nH/m
                                              - C = 89 pF/m
                                              - Zo = 52Ω
                                                                                                       UG583_c4_05_112113
       The 2D field-solver example shows that close to 50Ω can be achieved if the ground plane
       under the pad footprint is cleared out. A 3D field solver is then used to verify this result to
       a greater degree of accuracy.
       Figure 10-6 shows the ground plane cleared away exactly as it was for the 2D simulation.
       Using frequency domain analysis within HFSS, there is a 20 dB (10x) improvement in return
       loss using this technique.
       X-Ref Target - Figure 10-6
                                                       Z
                                                                              Y
UG583_c4_06_112113
       Figure 10-7 shows the return loss comparison between 0402 pad structures with linear
       scale.
       X-Ref Target - Figure 10-7
Uncleared Planes
                                                  -20
                                     dB(S(3,3))
                                     dB(S(1,1))
Cleared Planes
-40
                                                  -60
                                                        0           2               4           6                8                 10
                                                                                   Frequency, GHz                    UG583_c4_07_112113
                                                  -20
                                    dB(S(3,3))
                                    dB(S(1,1))
-40
                                                  -60
                                                    1E8                                 1E9                                     1E10
                                                                                    Frequency, Hz                    UG583_c4_08_112113
              Figure 10-8:                  Return Loss Comparison Between 0402 Pad Structures on Log (Frequency) Scale
       Next, using simulated measurements on the same transition modeled in HFSS, the time-
       domain performance of this transition can be measured by doing a TDR on the S-parameter
       results from the earlier frequency domain analysis.
       In Figure 10-9 and Figure 10-10, the red curve with the large capacitive dip corresponds to
       the SMT pad without the ground plane cleared from underneath. The blue curve shows that
       clearing out the ground plane removes much of the excess capacitance. This improvement
       can be quantified using Equation 10-1 and Equation 10-2.
       X-Ref Target - Figure 10-9
                                                                      600
                                     VtdrPlaneNotCleared, mV
                                                                      500
                                       VtdrPlaneCleared, mV
400
300
200
100
                                                                           0
                                                                               0.0         0.5        1.0         1.5     2.0       2.5              3.0
                                                                                                             Time, ns                   UG583_c4_09_112113
                                                                               550
                                                VtdrPlaneNotCleared, mV
                                                 VtdrPlaneCleared, mV
500
450
400
350
                                                                               300
                                                                                     0.55 0.60 0.65 0.70 0.75 0.80 0.85 0.90 0.95 1.00 1.05
Time, ns UG583_c4_10_112113
       As shown from Figure 10-11 and Figure 10-12, clearing the ground plane under SMT pads
       yields a significant improvement in the performance of an SMT pad transition. Excess
       capacitance is reduced by 15x, and return loss is improved by 20 dB.
       X-Ref Target - Figure 10-11
                                                                 550
                                     VtdrPlaneNotCleared, mV                                m1                      m2
                                                                 500
                                      VtdrPlaneCleared, mV
450
400
350
                                                                 300
                                                                       0.55   0.60   0.65    0.70   0.75   0.80   0.85   0.90     0.95      1.00
Time, ns UG583_c4_11_112113
                                                                 550
                                                                                            m1             m2
                                     VtdrPlaneNotCleared, mV
                                                                 500
                                      VtdrPlaneCleared, mV
450
400
350
                                                                 300
                                                                       0.55   0.60   0.65    0.70   0.75   0.80   0.85   0.90     0.95      1.00
                                                                                                     Time, ns                    UG583_c4_12_112113
       Differential Vias
       The most common transition is the differential via where the signal pair must transition
       from an upper stripline layer or top microstrip to a lower stripline layer or bottom
       microstrip.
UG583_c4_13_112113
       The larger oblong antipads reduce excess fringing capacitance between the via body and
       the surrounding planes edges. Unused pads are also removed.
       A good starting point is to use the dimensions shown in Figure 10-13 as an example
       differential via design for an 80 mil board. To accommodate density constraints or the lack
       thereof, the dimensions can be scaled accordingly to preserve the ratios of each dimension
       relative to the others. Such scaling preserves the impedance performance of the differential
       via while allowing variation in overall size to better suit specific applications. These final
       dimensions are limited by manufacturability and density constraints.
       While the via length can be varied by a small amount to suit boards that are thicker or
       thinner than the 80 mil example, changing the ratio of the via length relative to other
       dimensions affects the via’s impedance. For this and other configurations of differential
       vias, it is best to simulate a model using 3D field-solver tools to ensure that performance
       targets are met.
       X-Ref Target - Figure 10-14
From Pin L11, Exiting at Lower Layer From Pin L6, Exiting at Middle Layer
UG583_c4_14_112113
                                     Figure 10-14:        Differential GSSG Via in 16-Layer PCB from Pins L11 and L6
       As a general rule, the P and N paths need to be kept at equal lengths through a transition.
       Where possible, via stub length should be kept to a minimum by traversing the signal
       through the entire length of the vias. The analysis shown in Figure 10-15 compares the
       S-parameter return loss for common-mode (SCC11) and differential (SDD11) responses.
       X-Ref Target - Figure 10-15
                                                           0
                                          dB(Sdd11_L11)
                                                          -20
                                          dB(Scc11_L11)
                                           dB(Sdd11_L6)
dB(Scc11_L6)
-40
-60
                                                          -80
                                                                1E8                    1E9                            1E10
                                                                                  Frequency, Hz            UG583_c4_15_112113
       Figure 10-15:                     Simulated Return Loss Comparing Differential and Common-Mode Losses for L11
                                                                and L6 GSSG Vias
       From the graph in Figure 10-15, the common-mode response is 20 dB worse in terms of
       return loss. The much worse common-mode response relative to the differential response is
       the reason why it is a good idea to reduce P/N skew as much as possible before entering a
       transition. The 60/40 rule of thumb is 40 dB of return loss at 1 GHz, which implies 60 fF of
       excess capacitance. Because excess capacitance is a single pole response, simple
       extrapolation rules can be used. For example, a shift to 34 dB return loss doubles the excess
       capacitance. Due to the excellent performance characteristics of GSSG vias, even long via
       stubs only double the differential via’s capacitance at the most.
       SMA Connectors
       Well-designed SMA connectors can reduce debugging time and allow a high-performance
       channel to be designed correctly on the first pass. SMA connectors that perform well at
       10 Gb/s need to be simulated, designed, and manufactured to meet this performance
       target. Vendors can also offer design services that ensure that the connector works well on
       a specific board. Assembly guidelines are crucial in ensuring that the process of mating the
       connector to the board is well-controlled to give the specified performance.
       Xilinx uses precision SMA connectors from Rosenberger and other precision connector
       manufacturers because of their excellent performance and because of the points listed in
       the previous paragraph.
       Backplane Connectors
       There are numerous signal integrity issues associated with backplane connectors including:
       Some connector manufacturers offer not only S parameters, models, and layout guidelines
       for their connectors but also design support, seminars, and tutorials.
       Microstrip/Stripline Bends
       A bend in a PCB trace is a transition. When routing differential traces through a 90° corner,
       the outer trace is longer than the inner trace, which introduces P/N imbalance. Even within
       a single trace, signal current has the tendency to hug the inside track of a corner, further
       reducing the actual delay through a bend.
       To minimize skew between the P and N paths, 90° turns in microstrips or striplines are
       routed as two 45° bends to give mitered corners. The addition of a jog-out also allows the
       trace lengths to be matched. Figure 10-16 shows example bends in traces.
       X-Ref Target - Figure 10-16
                                                                        Plane
                                                                       Cut-Outs                  Jog-Out
                                      Two
                                      45°
                                     Turns
UG583_c4_16_112113
       When this mitered bend is simulated with the jog-out and plane cutouts, excess capacitance
       is reduced and P/N length and phase matching is improved. Without jog-outs, the P/N
       length mismatch is 16 mils. Given FR4 material, the 16 mil difference translates to a phase
       mismatch of 4.8° at 5 GHz, or 2.68 ps (0.0268 UI) at 10 Gb/s.
       Figure 10-17 through Figure 10-19 show that phase mismatch is reduced to 0.75° with jog-
       outs and 0.3° with jog-outs and plane cutouts. The combination of jog-outs and plane
       cutouts yields simulation results that show the excess capacitance of the structure is
       reduced to 65 fF.
       Designers are tempted to widen lines to compensate for the characteristic impedance
       increase as the lines are separated and couple less strongly. However, even without
       widening the lines, the combined capacitance of the corners and jog-outs is still overly
       capacitive, and therefore the uncoupled section of the jog-out must not be widened.
       X-Ref Target - Figure 10-17
2.5
2.0
                                                           1.5
                                     vtdr_dutn2, V
                                     vtdr_dutp2, V
                                      vtdr_dutn, V
                                      vtdr_dutp, V
1.0
0.5
0.0
                                                       -0.5
                                                                 0.0           0.2           0.4              0.6          0.8                1.0
                                                                                                   Time, ns                      UG583_c4_17_112113
-10
                                                     -20
                                       dB(Sdd11x)
                                       dB(Sdd11)
-30
-40
-50
                                                     -60
                                                           1E8                         1E9                          1E10                5E10
                                                                                          Frequency, Hz                      UG583_c4_18_112113
-75
                                     Phase(S(8,6))
                                     Phase(S(7,5))
                                     Phase(S(4,2))
                                     Phase(S(3,1))
                                                     -76
                                                     -77
                                                           4.95                                                                      5.00
                                                                                        Frequency, GHz
                                                                                                                         UG583_c4_19_112113
                                          No Jog-outs
                                                                        50 mV, 200 ps Per Div.
                                                                                                             With Jog-outs
Skew
UG583_c4_20_112113
Figure 10-20: Measured TDR of 45 Degree Bends with and without Jog-Outs
        For example, for DDR3 DQ to DQS (Table A-1) using an FPGA rated at 2133 Mb/s and a
        memory component rated at 1333 Mb/s while operating at 1333 Mb/s, the skew can be
        relaxed from 5 ps to 84 ps.
        Note: The shaded numbers in Table A-1 to Table A-15 represent the maximum skew (plus or minus)
        allowed for the given FPGA speed rating and memory component rating when operated at that
        speed.
Notes:
1. See Table 2-24 and Table 2-31 for the original specifications associated with this table.
Notes:
1. This specification is for the address/command/control bus skew only. The separate CK-to-address specification must be met
   as specified.
2. See Table 2-25 and Table 2-32 for the original specifications associated with this table.
Notes:
1. See Table 2-17 and Table 2-34 for the original specifications associated with this table.
Notes:
1. This specification is for the address/command/control bus skew only. The separate CK-to-address specification must be met
   as specified.
2. See Table 2-18 and Table 2-35 for the original specifications associated with this table.
         Notes:
         1. See Table 2-78 for the original specifications associated with this table.
        Notes:
        1. See Table 2-78 for the original specifications associated with this table.
        Notes:
        1. See Table 2-78 for the original specifications associated with this table.
        Notes:
        1. See Table 2-85 for the original specifications associated with this table.
        Notes:
        1. See Table 2-85 for the original specifications associated with this table.
        Notes:
        1. See Table 2-85 for the original specifications associated with this table.
        Notes:
        1. See Table 2-85 for the original specifications associated with this table.
        Notes:
        1. See Table 2-85 for the original specifications associated with this table.
       Table A-13:       QDR II+ ADDR/CMD to CLK Skew Limit for Clock Point-to-Point and CA Fly-by
                   FPGA Rating (MHz)                                Memory Component Rating (MHz)
                 Rated                Actual                  633                       600                550
                  633                    633                    6                       N/A                N/A
                                         600                  49.44                     49.44              N/A
                                         550                  125.2                     125.2             125.2
                  600                    633                  N/A                       N/A                N/A
                                         600                    6                        6                 N/A
                                         550                  81.76                     81.76             81.76
                  550                    633                  N/A                       N/A                N/A
                                         600                  N/A                       N/A                N/A
                                         550                    6                        6                  6
        Notes:
        1. See Table 2-85 for the original specifications associated with this table.
       Table A-14:       QDR II+ ADDR/CMD to CLK Skew Limit for Clock T-Branch and CA Fly-by
                   FPGA Rating (MHz)                                Memory Component Rating (MHz)
                 Rated                Actual                   633                      600                550
                  633                    633                   34                       N/A                N/A
                                         600                  77.44                     77.44              N/A
                                         550                  153.2                     153.2             153.2
                  600                    633                  N/A                       N/A                N/A
                                         600                   34                        34                N/A
                                         550                 109.76                 109.76                109.76
                  550                    633                  N/A                       N/A                N/A
                                         600                  N/A                       N/A                N/A
                                         550                   34                        34                 34
        Notes:
        1. See Table 2-85 for the original specifications associated with this table.
       Table A-15:      QDR II+ ADDR/CMD to CLK Skew Limit for Clock T-Branch and CA T-Branch
                  FPGA Rating (MHz)                                Memory Component Rating (MHz)
               Rated                 Actual                  633                    600            550
                 633                   633                     6                    N/A            N/A
                                       600                  49.44                  49.44           N/A
                                       550                  125.2                  125.2           125.2
                 600                   633                   N/A                    N/A            N/A
                                       600                     6                        6          N/A
                                       550                  81.76                  81.76           81.76
                 550                   633                   N/A                    N/A            N/A
                                       600                   N/A                    N/A            N/A
                                       550                     6                        6           6
        Notes:
        1. See Table 2-85 for the original specifications associated with this table.
Notes:
1. 1 mil = 0.0254 mm
 IL @ 4 GHz        Rogers           Panasonic     Isola Group    Isola Group   Isola Group Isola Group
                 Corporation                                                    (Standard   (Standard
   (dB/in)      (Rogers_4350)     (MEGTRON 6)       (I-Speed)   (FR408HRIS)      FR4_LP)     FR4_LP)
 W = 10 mil            –0.270        –0.381         –0.397         –0.481        –0.641       –0.665
 W = 12 mil            –0.246        –0.340         –0.362         –0.440        –0.603       –0.624
Notes:
1. 1 mil = 0.0254 mm
Notes:
1. 1 mil = 0.0254 mm
 IL @ 6 GHz        Rogers           Panasonic     Isola Group    Isola Group   Isola Group Isola Group
                 Corporation                                                    (Standard   (Standard
   (dB/in)      (Rogers_4350)     (MEGTRON 6)       (I-Speed)   (FR408HRIS)      FR4_LP)     FR4_LP)
  W = 4 mil            –0.607        –0.872         –0.890         –1.018        –1.290       –1.356
  W = 6 mil            –0.479        –0.683         –0.699         –0.832        –1.085       –1.134
  W = 8 mil            –0.417        –0.577         –0.596         –0.720        –0.961       –0.999
 W = 10 mil            –0.370        –0.508         –0.532         –0.658        –0.891       –0.924
 W = 12 mil            –0.339        –0.455         –0.487         –0.604        –0.842       –0.871
Notes:
1. 1 mil = 0.0254 mm
 IL @ 6 GHz        Rogers          Panasonic       Isola Group    Isola Group   Isola Group Isola Group
                 Corporation                                                     (Standard   (Standard
   (dB/in)      (Rogers_4350)    (MEGTRON 6)         (I-Speed)   (FR408HRIS)      FR4_LP)     FR4_LP)
 W = 12 mil            –0.642        –0.795          –0.863         –1.115        –1.607       –1.776
Notes:
1. 1 mil = 0.0254 mm
       Xilinx Resources
       For support resources such as Answers, Documentation, Downloads, and Forums, see Xilinx
       Support.
For a glossary of technical terms used in Xilinx documentation, see the Xilinx Glossary.
       Solution Centers
       See the Xilinx Solution Centers for support on devices, software tools, and intellectual
       property at all stages of the design cycle. Topics include design assistance, advisories, and
       troubleshooting tips.
       •   From the Vivado® IDE, select Help > Documentation and Tutorials.
       •   On Windows, select Start > All Programs > Xilinx Design Tools > DocNav.
       •   At the Linux command prompt, enter docnav.
       Xilinx Design Hubs provide links to documentation organized by design tasks and other
       topics, which you can use to learn key concepts and address frequently asked questions. To
       access the Design Hubs:
       •   In the Xilinx Documentation Navigator, click the Design Hubs View tab.
       •   On the Xilinx website, see the Design Hubs page.
       Note: For more information on Documentation Navigator, see the Documentation Navigator page
       on the Xilinx website.
       References
       1. Kintex UltraScale FPGAs Data Sheet: DC and AC Switching Characteristics (DS892)
       2. Virtex UltraScale FPGAs Data Sheet: DC and AC Switching Characteristics (DS893)
       3. Kintex UltraScale+ FPGAs Data Sheet: DC and AC Switching Characteristics (DS922)
       4. Virtex UltraScale+ FPGAs Data Sheet: DC and AC Switching Characteristics (DS923)
       5. Zynq UltraScale+ RFSoC Data Sheet: DC and AC Switching Characteristics (DS926)
       6. UltraScale Architecture GTH Transceivers User Guide (UG576)
       7. UltraScale Architecture GTY Transceivers User Guide (UG578)
       8. UltraScale Architecture Schematic Review Checklist (XTP344)
       9. UltraScale and UltraScale+ FPGAs Packaging and Pinouts Product Specification (UG575)
       10. UltraScale Architecture SelectIO Resources User Guide (UG571)
       11. Interfacing 7 Series FPGAs High-Performance I/O Banks with 2.5V and 3.3V I/O Standards
           (XAPP520)
       12. UltraScale Architecture Configuration User Guide (UG570)
       13. UltraScale Architecture FPGAs Memory IP Product Guide (PG150)
       14. UltraScale Architecture Gen3 Integrated Block for PCI Express LogiCORE IP Product Guide
           (PG156)
       15. UltraScale Architecture Integrated Block for 100G Ethernet LogiCORE IP Product Guide
           (PG165)
       16. UltraScale Architecture Integrated IP Core for Interlaken LogiCORE IP Product Guide
           (PG169)
       17. Zynq UltraScale+ RFSoC RF Data Converter LogiCORE IP Product Guide (PG269)
       18. UltraScale+ FPGA and Zynq UltraScale+ MPSoC Schematic Review Checklist (XTP427)
       19. UltraScale Architecture and Product Overview (DS890)
       20. VESA DisplayPort Standard Version 1
           www.vesa.org
       21. JESD84-B451, Embedded Multi-media Card (eMMC), Electrical Standard (5.1)
           www.jedec.org/standards-documents/results/jesd84-b451
       22. Zynq UltraScale+ MPSoC Data Sheet: DC and AC Switching Characteristics (DS925)