[go: up one dir, main page]

 
 
Sign in to use this feature.

Years

Between: -

Subjects

remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline
remove_circle_outline

Journals

Article Types

Countries / Regions

Search Results (153)

Search Parameters:
Keywords = etch mask

Order results
Result details
Results per page
Select all
Export citation of selected articles as:
12 pages, 3246 KiB  
Article
Mechanism of Improving Etching Selectivity for E-Beam Resist AR-N 7520 in the Formation of Photonic Silicon Structures
by Ksenia Fetisenkova, Alexander Melnikov, Vitaly Kuzmenko, Andrey Miakonkikh, Alexander Rogozhin, Andrey Tatarintsev, Oleg Glaz and Vsevolod Kiselevsky
Processes 2024, 12(9), 1941; https://doi.org/10.3390/pr12091941 - 10 Sep 2024
Viewed by 270
Abstract
The selectivity of the reactive ion etching of silicon using a negative electron resist AR-N 7520 mask was investigated. The selectivity dependencies on the fraction of SF6 in the feeding gas and bias voltage were obtained. To understand the kinetics of passivation [...] Read more.
The selectivity of the reactive ion etching of silicon using a negative electron resist AR-N 7520 mask was investigated. The selectivity dependencies on the fraction of SF6 in the feeding gas and bias voltage were obtained. To understand the kinetics of passivation film formation and etching, the type and concentration of neutral particles were evaluated and identified using plasma optical emission spectroscopy. Electron temperature and electron density were measured by the Langmuir probe method to interpret the optical emission spectroscopy data. A high etching selectivity of 8.0 ± 1.8 was obtained for the etching process. The optimum electron beam exposure dose for defining the mask was 8200 pC/m at 30 keV. Full article
(This article belongs to the Section Manufacturing Processes and Systems)
Show Figures

Figure 1

Figure 1
<p>(<b>a</b>–<b>c</b>) SEM images of samples after development. Lines with exposure doses of (<b>a</b>) 4000 pC/cm, (<b>b</b>) 5800 pC/cm, and (<b>c</b>) 8200 pC/cm are presented. (<b>d</b>) Dependence of line height after development on the line exposure dose.</p>
Full article ">Figure 2
<p>Dependence of line edge roughness (LER) on exposure dose.</p>
Full article ">Figure 3
<p>(<b>a</b>) Plasma spectrum of the SF<sub>6</sub>/C<sub>4</sub>F<sub>8</sub> feeding gas composition under experimental conditions with the SF<sub>6</sub> fraction equal to 18%. The fraction of Ar is equal to 6%. (<b>b</b>) Spectrum of molecular bands and CF<sub>x</sub> continuum in the ultraviolet region for SF<sub>6</sub>/C<sub>4</sub>F<sub>8</sub> plasma under experimental conditions.</p>
Full article ">Figure 4
<p>Dependence of atomic fluorine concentration and intensity of the overlapped CF<sub>2</sub> molecular bands A<sup>1</sup>B<sub>1</sub>(0;3;0)–X<sup>1</sup>A<sub>1</sub>(0;0;0) and A<sup>1</sup>B<sub>1</sub>(0;4;0)–X<sup>1</sup>A<sub>1</sub>(0;1;0) (262.5 nm) on the SF<sub>6</sub> fraction in the feeding gas.</p>
Full article ">Figure 5
<p>(<b>a</b>–<b>e</b>) SEM images of samples after the process of RIE. Structures after processes with SF<sub>6</sub> fractions of (<b>a</b>) 15%, (<b>b</b>) 18%, (<b>c</b>) 25%, (<b>d</b>) 28%, and (<b>e</b>) 31%. (<b>f</b>) Dependence of silicon-etching rate, the etching rate of AR-N 7520, and selectivity on the SF<sub>6</sub> fraction in the feeding gas. The mean error values for the silicon and resistetching rates were 5.5 nm/min and 8.5 nm/min, respectively.</p>
Full article ">Figure 6
<p>Dependence of silicon-etching rate, the etching rate of AR-N 7520 resist, and selectivity on the bias voltage. The mean error values for the silicon- and resist etching rates were 5.2 nm/min and 10.5 nm/min, respectively.</p>
Full article ">Figure 7
<p>Dependence of selectivity on the exposure dose for etching processes with different values of bias voltage.</p>
Full article ">Figure 8
<p>Dependence of the silicon structure sidewall angle on the SF<sub>6</sub> fraction in SF<sub>6</sub>/C<sub>4</sub>F<sub>8</sub> feeding gas. SEM images of the structures are shown in <a href="#processes-12-01941-f005" class="html-fig">Figure 5</a>a–e.</p>
Full article ">
30 pages, 13607 KiB  
Article
Grating Coupler Design for Low-Cost Fabrication in Amorphous Silicon Photonic Integrated Circuits
by Daniel Almeida, Paulo Lourenço, Alessandro Fantoni, João Costa and Manuela Vieira
Photonics 2024, 11(9), 783; https://doi.org/10.3390/photonics11090783 - 23 Aug 2024
Viewed by 424
Abstract
Photonic circuits find applications in biomedicine, manufacturing, quantum computing and communications. Photonic waveguides are crucial components, typically having cross-section orders of magnitude inferior when compared with other photonic components (e.g., optical fibers, light sources and photodetectors). Several light-coupling methods exist, consisting of either [...] Read more.
Photonic circuits find applications in biomedicine, manufacturing, quantum computing and communications. Photonic waveguides are crucial components, typically having cross-section orders of magnitude inferior when compared with other photonic components (e.g., optical fibers, light sources and photodetectors). Several light-coupling methods exist, consisting of either on-plane (e.g., adiabatic and end-fire coupling) or off-plane methods (e.g., grating and vertical couplers). The grating coupler is a versatile light-transference technique which can be tested at wafer level, not requiring specific fiber terminations or additional optical components, like lenses, polarizers or prisms. This study focuses on fully-etched grating couplers without a bottom reflector, made from hydrogenated amorphous silicon (a-Si:H), deposited over a silica substrate. Different coupler designs were tested, and of these we highlight two: the superimposition of two lithographic masks with different periods and an offset between them to create a random distribution and a technique based on the quadratic refractive-index variation along the device’s length. Results were obtained by 2D-FDTD simulation. The designed grating couplers achieve coupling efficiencies for the TE-like mode over −8 dB (mask overlap) and −3 dB (quadratic variation), at a wavelength of 1550 nm. The coupling scheme considers a 220 nm a-Si:H waveguide and an SMF-28 optical fiber. Full article
(This article belongs to the Special Issue Progress in Integrated Photonics and Future Prospects)
Show Figures

Figure 1

Figure 1
<p>Size mismatch between a silicon optical waveguide (≈200 nm thick) and an SMF-28 optical fiber, with core width ≈ 8200 nm and a mode field diameter (MFD) of about 10,400 nm. The system operates at a wavelength of 1550 nm. This illustration represents end-fire coupling without the assistance of a 3D taper.</p>
Full article ">Figure 2
<p>Photonic waveguide light-coupling techniques: (<b>a</b>) adiabatic coupler, consisting of tapered optical-fiber termination and waveguide taper; (<b>b</b>) non-apodized grating coupler, including optical fiber (with only the core and reduced cladding diameter represented), grating and waveguide taper; (<b>c</b>) optical fiber with tapered termination; (<b>d</b>) spot size converter based on 3D taper (optical fiber coating not represented, cladding diameter reduced); (<b>e</b>) spot size converter based on inverted taper (only the fiber core is represented). Representations not up to scale and not depicting functional devices, designed for demonstration purposes only.</p>
Full article ">Figure 3
<p>Some of the techniques employed to improve grating-coupler efficiency and/or bandwidth. (<b>a</b>) Dual-level grating coupler [<a href="#B40-photonics-11-00783" class="html-bibr">40</a>]; (<b>b</b>) grating coupler featuring stacked layers (SiN<sub>x</sub> on SOI) [<a href="#B49-photonics-11-00783" class="html-bibr">49</a>]; (<b>c</b>) silicon nitride grating coupler featuring a DBR stack composed of two layers of amorphous silicon (a-Si) [<a href="#B56-photonics-11-00783" class="html-bibr">56</a>]; (<b>d</b>) grating coupler featuring a bottom metal reflector layer [<a href="#B57-photonics-11-00783" class="html-bibr">57</a>,<a href="#B58-photonics-11-00783" class="html-bibr">58</a>]; (<b>e</b>) grating coupler with silicon bottom grating reflector [<a href="#B52-photonics-11-00783" class="html-bibr">52</a>].</p>
Full article ">Figure 4
<p>Two-dimensional representations of the SMF-28 optical fiber. (<b>a</b>) Transversal section; (<b>b</b>) longitudinal cut. Both figures show (from center to periphery), core, cladding and coating. Coating is represented with a +5 µm deviation from the nominal value.</p>
Full article ">Figure 5
<p>Transversal cut of the proposed strip waveguide (<b>a</b>); the background is air, and a 220 nm hydrogenated amorphous silicon (a-Si:H) layer (represented in blue) is deposited over silica (represented in light blue). The SiO<sub>2</sub> is much thicker (by at least one order of magnitude) than the amorphous silicon layer (figure not up to scale). The waveguide’s height (thickness) is h, and the width is w, respectively. Since we are assuming a 2D model, the influence of width was not studied. Complex relative permittivity of hydrogenated amorphous silicon (<b>b</b>), given for the wavelengths of the optical C-band, values from the GUTL model [<a href="#B63-photonics-11-00783" class="html-bibr">63</a>].</p>
Full article ">Figure 6
<p>Simplified representation of an optical fiber to silicon waveguide light-coupling scheme, showing some of the grating-coupler design parameters: coupling angle (<span class="html-italic">θ</span>), grating period (<math display="inline"><semantics> <mrow> <mi mathvariant="sans-serif">Λ</mi> </mrow> </semantics></math>), etched length (<math display="inline"><semantics> <mrow> <msub> <mrow> <mi>L</mi> </mrow> <mrow> <mi>E</mi> </mrow> </msub> </mrow> </semantics></math>) and unetched length (<math display="inline"><semantics> <mrow> <msub> <mrow> <mi>L</mi> </mrow> <mrow> <mi>U</mi> </mrow> </msub> </mrow> </semantics></math>). This figure does not represent a functional coupling scheme and is not up to scale (e.g., the size mismatch between the optical fiber and the grating coupler is significantly greater).</p>
Full article ">Figure 7
<p>Hypothetical diffracted field-intensity distributions of (<b>a</b>) non-apodized (red line) and (<b>b</b>) apodized (blue line) grating couplers; both figures display a theoretical fiber model with a Gaussian field distribution (green lines). The figures are not up to scale and do not represent functional designs.</p>
Full article ">Figure 8
<p>Hypothetical apodized grating coupler with varying refractive index over length; both period and fill factor vary. This is not a functional design and was not based on any distribution of the refractive index, linear, polynomial, or other. Typically, grating couplers have dozens of periods. Representation not up to scale.</p>
Full article ">Figure 9
<p>Proposed linear variation of the refractive index over the grating coupler’s length (represented in red).</p>
Full article ">Figure 10
<p>Grating coupler with a linear variation of the refractive index: the fill factor and period vary over the grating’s length, the refractive index is the highest close to the access waveguide (left) and the lowest at the end of the grating coupler (right). In this figure, only 24 segments are represented. Representation is accurate to 1/100th of a micrometer (±10 nm tolerance per segment).</p>
Full article ">Figure 11
<p>Proposed quadratic variation of the refractive index over the grating coupler’s length, represented in blue. The orange dashed line corresponds to the linear regression obtained from the quadratic-function values at position 0 and position 10.4 µm.</p>
Full article ">Figure 12
<p>Grating coupler with a quadratic variation of the refractive index: the fill factor and period vary over the grating’s length. The refractive index is the highest close to the waveguide (left) and the lowest at the end of the grating coupler (right). Only 24 segments are represented. Representation accurate to 1/100th of a micrometer (±10 nm tolerance per segment).</p>
Full article ">Figure 13
<p>Grating coupler with a period of 690 nm and a fill factor of 82.6%. First 24 periods represented, coupling angle not represented.</p>
Full article ">Figure 14
<p>Grating coupler made from the superposition of two diffraction gratings with a period of 1500 nm; the result is a grating with the same period and a fill factor of 76.(6)%. Grating segments with and without offset are represented by the colors green and red, respectively.</p>
Full article ">Figure 15
<p>Grating coupler made from the superposition of two diffraction gratings with periods of 1500 nm (represented in red) and 1300 nm (represented in blue). The result is a grating with a chaotic (random) distribution.</p>
Full article ">Figure 16
<p>Electric, E<sub>y</sub> (<b>a</b>) and magnetic, H<sub>x</sub> (<b>b</b>) field distributions of the fundamental quasi-transverse electric mode (quasi-TE<sub>00</sub>) of the waveguide.</p>
Full article ">Figure 17
<p>Waveguide light confinement for the fundamental quasi-TE mode versus waveguide thickness (height) for a wavelength of 1550 nm.</p>
Full article ">Figure 18
<p>Electric (<b>a</b>) and magnetic (<b>b</b>) field distributions of the fundamental transverse electric mode (TE<sub>00</sub>) of the single-mode optical fiber.</p>
Full article ">Figure 19
<p>Representation on the XZ plane of the diffracted electric field: the green (transparent filled) horizontal bar is the waveguide’s monitor and the green (transparent filled) slanted bar is the diffracted field monitor. Image obtained from 2D-FDTD.</p>
Full article ">Figure 20
<p>Efficiency test: from top to bottom, the blue line represents the overlap value (with the quasi-TE<sub>00</sub> waveguide’s mode) measured at 1 µm before the waveguide’s end. The red and green lines represent the power and overlap (with the TE<sub>00</sub> mode of a simulated SMF-28 optical fiber) of the diffraction field, respectively, measured by a monitor whose center is at a distance of 5 µm from the grating’s center.</p>
Full article ">Figure 21
<p>Representation on the XZ plane of the diffracted electric field: the green (transparent filled) horizontal bar is the waveguide’s monitor and the green (transparent filled) slanted bar is the diffracted field monitor. Image obtained from 2D-FDTD.</p>
Full article ">Figure 22
<p>Efficiency test: from top to bottom, the blue line represents the overlap value (with the quasi-TE<sub>00</sub> waveguide’s mode) measured at 1 µm before the waveguide’s end. The red and green lines represent the power and overlap (with the TE<sub>00</sub> mode of a simulated SMF-28 optical fiber) of the diffraction field, respectively, measured by a monitor whose center is at a distance of 4 µm from the grating’s center.</p>
Full article ">Figure 23
<p>Overlap with the SMF-28 optical fiber TE<sub>00</sub> mode at the diffracted field monitor, obtained for different wavelengths. The |Overlap|<sup>2</sup> is given in logarithmic scale, decibel (dB), and wavelength in vacuum (λ<sub>0</sub>) is represented on a linear scale.</p>
Full article ">Figure 24
<p>Representation on the XZ plane of the diffracted electric field: the green (transparent filled) horizontal bar is the waveguide’s monitor and the green (transparent filled) slanted bar is the diffracted field monitor. Image obtained from 2D-FDTD.</p>
Full article ">Figure 25
<p>Efficiency test: from top to bottom, the blue line represents the overlap value (with the quasi-TE<sub>00</sub> waveguide’s mode) measured at 1 µm before the waveguide’s end. The red and green lines represent the power and overlap (with the TE<sub>00</sub> mode of a simulated SMF-28 optical fiber) of the diffraction field, respectively, measured by a monitor centered at a distance of 4 µm from the grating.</p>
Full article ">Figure 26
<p>Overlap with the SMF-28 optical fiber TE<sub>00</sub> mode at the diffracted field monitor, obtained for different wavelengths. The |Overlap|<sup>2</sup> is given in logarithmic scale, decibel (dB), and wavelength in vacuum (λ<sub>0</sub>) is represented on a linear scale.</p>
Full article ">Figure 27
<p>Representation on the XZ plane of the diffracted electric field: the green (transparent filled) horizontal bar is the waveguide’s monitor and the green (transparent filled) slanted bar is the diffracted field monitor. Image obtained from 2D-FDTD.</p>
Full article ">Figure 28
<p>Efficiency test: from top to bottom, the blue line represents the overlap value (with the quasi-TE<sub>00</sub> waveguide’s mode) measured at 1 µm before the waveguide’s end (diffraction-grating starting point). The red and green lines represent the power and overlap (with the TE<sub>00</sub> mode of a simulated SMF-28 optical fiber) of the diffraction field, respectively, measured by a monitor whose center is at a distance of 4 µm from the grating.</p>
Full article ">Figure 29
<p>Overlap with the SMF-28 optical fiber TE<sub>00</sub> mode at the diffracted field monitor, obtained for different wavelengths. The |Overlap|<sup>2</sup> is given in logarithmic scale, decibel (dB), and wavelength in vacuum (λ<sub>0</sub>) is represented on a linear scale.</p>
Full article ">Figure 30
<p>Representation on the XZ plane of the diffracted electric field: the green (transparent filled) horizontal bar is the waveguide’s monitor and the green (transparent filled) slanted bar is the diffracted field monitor. Image obtained from 2D-FDTD.</p>
Full article ">Figure 31
<p>Efficiency test: from top to bottom, the blue line represents the overlap value (with the quasi-TE<sub>00</sub> waveguide’s mode) measured at 1 µm before the waveguide’s end (diffraction grating starting point). The red and green lines represent the power and overlap (with the TE<sub>00</sub> mode of a simulated SMF-28 optical fiber) of the diffraction field, respectively, measured by a monitor whose center is at a distance of 6 µm from the grating.</p>
Full article ">Figure 32
<p>Overlap with the SMF-28 optical fiber TE<sub>00</sub> mode at the diffracted field monitor, obtained for different wavelengths. The |Overlap|<sup>2</sup> is given in logarithmic scale, decibel (dB), and wavelength in vacuum (λ<sub>0</sub>) is represented on a linear scale.</p>
Full article ">Figure 33
<p>Representation on the XZ plane of the diffracted electric field: the green (transparent filled) horizontal bar is the waveguide’s monitor and the green (transparent filled) slanted bar is the diffracted field monitor. Image obtained from 2D-FDTD.</p>
Full article ">Figure 34
<p>Efficiency test: from top to bottom, the blue line represents the overlap value (with the quasi-TE<sub>00</sub> waveguide’s mode) measured at 1 µm before the waveguide’s end. The red and green lines represent the power and overlap (with the TE<sub>00</sub> mode of a simulated SMF-28 optical fiber) of the diffraction field, respectively, measured by a monitor whose center is at a distance of 6 µm from the grating’s center.</p>
Full article ">
15 pages, 6691 KiB  
Article
Atomic Depth Image Transfer of Large-Area Optical Quartz Materials Based on Pulsed Ion Beam
by Shuyang Ran, Kefan Wen, Lingbo Xie, Xingyu Zhou, Ye Tian, Shuo Qiao, Feng Shi and Xing Peng
Micromachines 2024, 15(7), 914; https://doi.org/10.3390/mi15070914 - 15 Jul 2024
Viewed by 687
Abstract
The high-efficiency preparation of large-area microstructures of optical materials and precision graphic etching technology is one of the most important application directions in the atomic and near-atomic-scale manufacturing industry. Traditional focused ion beam (FIB) and reactive ion etching (RIE) methods have limitations in [...] Read more.
The high-efficiency preparation of large-area microstructures of optical materials and precision graphic etching technology is one of the most important application directions in the atomic and near-atomic-scale manufacturing industry. Traditional focused ion beam (FIB) and reactive ion etching (RIE) methods have limitations in precision and efficiency, hindering their application in automated mass production. The pulsed ion beam (PIB) method addresses these issues by enhancing ion beam deflection to achieve high-resolution material removal on a macro scale, which can reach the equivalent removal resolution of 6.4 × 10−4 nm. Experiments were conducted on a quartz sample (10 × 10 × 1 mm) with a specific pattern mask using the custom PIB processing device. The surface morphology, etching depth, and roughness were measured post-process. The results demonstrated that precise control over cumulative sputtering time yielded well-defined patterns with expected average etching depths and surface roughness. This confirms the PIB technique’s potential for precise atomic depth image transfer and its suitability for industrial automation, offering a significant advancement in microfabrication technology. Full article
(This article belongs to the Special Issue Precision Optical Manufacturing and Processing)
Show Figures

Figure 1

Figure 1
<p>Model for pulsed ion beam etching.</p>
Full article ">Figure 2
<p>Incident ion energy deposition distribution.</p>
Full article ">Figure 3
<p>Mask plate-based graphic transfer method.</p>
Full article ">Figure 4
<p>Experimental setup.</p>
Full article ">Figure 5
<p>(<b>a</b>) Schematic diagram of the machining patterns and parameters of the sample; (<b>b</b>) Poorly etched area; (<b>c</b>) Better-etched area.</p>
Full article ">Figure 6
<p>(<b>a</b>) Before denoising; (<b>b</b>) After denoising; (<b>c</b>) Denoising effect under different σ.</p>
Full article ">Figure 7
<p>Depth of etch.</p>
Full article ">Figure 8
<p>The shape of the processed area and X–Z section of the groove at different sputtering times: (<b>a</b>) 22.5 s; (<b>b</b>) 37.5 s; (<b>c</b>) 45 s.</p>
Full article ">Figure 8 Cont.
<p>The shape of the processed area and X–Z section of the groove at different sputtering times: (<b>a</b>) 22.5 s; (<b>b</b>) 37.5 s; (<b>c</b>) 45 s.</p>
Full article ">Figure 9
<p>(<b>a</b>) The folded line and linearly fitted straight line for the mean sputtering time-etching depth. (<b>b</b>) The folded line for the mean sputtering time-etching area depth.</p>
Full article ">
11 pages, 2220 KiB  
Article
Plasma-Etched Black GaAs Nanoarrays with Gradient Refractive Index Profile for Broadband, Omnidirectional, and Polarization-Independent Antireflection
by Yi-Fan Huang, Yi-Jun Jen, Varad A. Modak, Li-Chyong Chen and Kuei-Hsien Chen
Nanomaterials 2024, 14(13), 1154; https://doi.org/10.3390/nano14131154 - 6 Jul 2024
Viewed by 930
Abstract
Black GaAs nanotip arrays (NTs) with 3300 nm lengths were fabricated via self-masked plasma etching. We show, both experimentally and numerically, that these NTs, with three gradient refractive index layers, effectively suppress Fresnel reflections at the air–GaAs interface over a broad range of [...] Read more.
Black GaAs nanotip arrays (NTs) with 3300 nm lengths were fabricated via self-masked plasma etching. We show, both experimentally and numerically, that these NTs, with three gradient refractive index layers, effectively suppress Fresnel reflections at the air–GaAs interface over a broad range of wavelengths. These NTs exhibit exceptional UV-Vis light absorption (up to 99%) and maintain high NIR absorption (33–60%) compared to bare GaAs. Moreover, possessing a graded layer with a low refractive index (n = 1.01 to 1.12), they achieve angular and polarization-independent antireflection properties exceeding 80° at 632.8 nm, aligning with perfect antireflective coating theory predictions. This approach is anticipated to enhance the performance of optoelectronic devices across a wide range of applications. Full article
Show Figures

Figure 1

Figure 1
<p>(<b>a</b>) A schematic illustration of the plasma process for fabricating black GaAs NTs on a bare GaAs substrate utilizing the self-masking dry etching method. (<b>b</b>) Photographic images depicting the bare GaAs substrate (<b>left</b>) and the GaAs substrate decorated with black GaAs NTs (<b>right</b>).</p>
Full article ">Figure 2
<p>SEM images exhibiting a tilted top view (<b>a</b>) and a cross-sectional view (<b>b</b>) of black GaAs NTs having a length of 3300 nm. (<b>c</b>) Schematic diagram of the nanostructures and geometric parameters for black GaAs NTs. Here, L denotes the average length of the NTs, S represents the average spacing of the NTs, and d denotes the average diameter of the NTs.</p>
Full article ">Figure 3
<p>Broadband antireflection properties were observed on the surfaces of both bare GaAs and black GaAs NTs, spanning a wavelength range from 300 to 2400 nm. The hemispherical reflectance (<b>a</b>), hemispherical transmittance (<b>b</b>), and hemispherical absorbance (<b>c</b>) of bare GaAs (dashed line) and black GaAs NT (solid line) surfaces were examined.</p>
Full article ">Figure 4
<p>The angular-dependent specular reflectance as a function of wavelength (250 to 2000 nm) and various AOIs (5° to 60°) was measured for both bare GaAs (dashed line) and black GaAs NT (solid line) surfaces.</p>
Full article ">Figure 5
<p>The establishment of a gradient refractive- index profile and subsequent simulation of angle-dependent reflectance data were conducted for bare GaAs with GRI coatings using various theoretical models: (<b>a</b>,<b>b</b>) only using the bare GaAs index profile, (<b>c</b>,<b>d</b>) using the linear index profile, and (<b>e</b>,<b>f</b>) using the exponential sine index profile. This process was carried out for s- and p-polarized light at a wavelength of 632.8 nm. S-polarized light has its electric field oriented perpendicular to the plane of incidence, whereas p-polarized light has its electric field oriented parallel to the plane of incidence.</p>
Full article ">Figure 6
<p>Simulation of the gradient refractive index profile of the black GaAs NT surface. (<b>a</b>) The refractive index profile of the black GaAs NT surface revealed three distinct regions: region I with a refractive index close to 1.0, region II exhibiting a graded refractive index, and region III with a refractive index near 3.8. The inset presents a cross-sectional SEM image of the black GaAs NTs, illustrating the three distinct regions. (<b>b</b>) The experimental and simulated specular reflectance of bare GaAs and black GaAs NT surfaces was evaluated by measuring their angle-dependent response using s- and p-polarized light at a wavelength of 632.8 nm. The unpolarized specular reflectance of bare GaAs surfaces has also been shown in the figure for reference.</p>
Full article ">
17 pages, 74022 KiB  
Article
Optimization of Grayscale Lithography for the Fabrication of Flat Diffractive Infrared Lenses on Silicon Wafers
by Angelos Bouchouri, Muhammad Nadeem Akram, Per Alfred Øhlckers and Xuyuan Chen
Micromachines 2024, 15(7), 866; https://doi.org/10.3390/mi15070866 - 30 Jun 2024
Viewed by 3794
Abstract
Grayscale lithography (GSL) is an alternative approach to the standard binary lithography in MEMS fabrication, enabling the fabrication of complicated, arbitrary 3D structures on a wafer without the need for multiple masks and exposure steps. Despite its advantages, GSL’s effectiveness is highly dependent [...] Read more.
Grayscale lithography (GSL) is an alternative approach to the standard binary lithography in MEMS fabrication, enabling the fabrication of complicated, arbitrary 3D structures on a wafer without the need for multiple masks and exposure steps. Despite its advantages, GSL’s effectiveness is highly dependent on controlled lab conditions, equipment consistency, and finely tuned photoresist (PR) exposure and etching processes. This works presents a thorough investigation of the challenges of GSL for silicon (Si) wafers and presents a detailed approach on how to minimize fabrication inaccuracies, aiming to replicate the intended design as closely as possible. Utilizing a maskless laser writer, all aspects of the GSL are analyzed, from photoresist exposure parameters to Si etching conditions. A practical application of GSL is demonstrated in the fabrication of 4-μm-deep f#/1 Si Fresnel lenses for long-wave infrared (LWIR) imaging (8–12 μm). The surface topography of a Fresnel lens is a good case to apply GSL, as it has varying shapes and size features that need to be preserved. The final fabricated lens profiles show a good match with the initial design, and demonstrate successful etching of coarse and fine features, and demonstrative images taken with an LWIR camera. Full article
(This article belongs to the Special Issue Precision Optical Manufacturing and Processing)
Show Figures

Figure 1

Figure 1
<p>The evolution of the patterns during the etching step. The patterned PR and the exposed Si are etched simultaneously and anisotropically. The transfer of the patterns from the PR to the Si happens steadily throughout the etching cycle.</p>
Full article ">Figure 2
<p>(<b>a</b>) The test structure for dose calibration and (<b>b</b>) the test structure for selectivity measurements are relatively identical structures. The calibration design has more squares, so the linearization is accurate, and the interpolation relies on a sufficient number of measurements. (<b>c</b>) Part of the test structure that is used to optimize the development process. The width of the ramps increases gradually. The structures will be referred to as test structures A, B, and C in the text.</p>
Full article ">Figure 3
<p>(<b>a</b>) The height of the columns is measured. (<b>b</b>) Y’ is the etched height of the of column Y. Column X has unetched PR and its total height is measured. (<b>c</b>) Removal of PR residues. X’ is measured and dX as a result. Calculated values: selectivity = Y’/Y, Si etch rate = X’/T, PR etch rate = (Χ − dX)/T, dX = X − X’.</p>
Full article ">Figure 4
<p>Comparison of PR ramps when their designs are linearized and not. The unoptimized designs show an inward curve in which, in some areas, the difference between desired and actual height can reach values that will severely degrade the image quality. The optimized ramps exhibit excellent linearity.</p>
Full article ">Figure 5
<p>Comparison of the first rings of a Fresnel lens. Each point is adjusted based on the exposure curve resulting on a similar but “scaled” mask.</p>
Full article ">Figure 6
<p>SEM images of the selectivity measuring test structures B in Si. (<b>a</b>) On the left, the ramp is unoptimized. From the “shadow” on the sidewalls, it is visible that the ramp lags at gaining height. (<b>b</b>) The right optimized ramp starts to gain height earlier than the left one.</p>
Full article ">Figure 7
<p>Selectivity and etching rates of Si and PR were examined. The flow rate of SF6 was held constant at 22.5 sccm. As the O<sub>2</sub> flow rate increased, the etching rate of the RP increased, while the rate of Si decreased slightly. Similarly, with a constant flow rate of O<sub>2</sub> at 8 sccm and a varying flow rate of SF6, an increase in SF6 flow rate led to an increase in the etching rate of both Si and PR.</p>
Full article ">Figure 8
<p>SEM images of part of the test structure C. (<b>a</b>) When ramps are placed adjacently, without gaps in between, the slope flattens near the vertical walls. This results in less etched depth. (<b>b</b>) When ramps are spaced apart, the etched depth increases by 0.3 μm, reaching closer to the desired etch depth.</p>
Full article ">Figure 9
<p>SEM images of the test structures C and B. (<b>a</b>) Multiple 14-μm-wide ramps were subjected to etching, resulting in a depth of ~4 μm. (<b>b</b>) The etching recipe demonstrated efficacy in etching structures of varying heights. The bottom right square, measuring approximately 80 nm in height, and the top left square, reaching approximately 4 μm in height, were both etched successfully. the 80 nm tall square retained its shape and height despite representing merely 2% of the height of the tallest square.</p>
Full article ">Figure 10
<p>(<b>a</b>) SEM images of the last rings of a <math display="inline"><semantics> <mi>f</mi> </semantics></math>#/0.5 Fresnel lens. The widths of the rings are in the range of ~14 μm. (<b>b</b>) Using AFM, the surface roughness (Ra) was measured at 3.5 nm. The total area scanned is 400 μm<sup>2</sup>.</p>
Full article ">Figure 11
<p>SEM images of rings of different widths, the etched depth varies from (<b>a</b>) 2.4 μm, (<b>b</b>) 3.0 μm, and (<b>c</b>) 3.9 μm. With the increment in the width of the ring, the discrepancy between the resultant and the targeted etch depths diminishes. This phenomenon can be attributed to the non-uniform development of the PR.</p>
Full article ">Figure 12
<p>SEM images of rings of a lens with uniform development. (<b>a</b>) The cross-section of 29.8-μm-wide ring. The ramp initially flattens and then goes upward, from 4.07 μm to 3.66 μm, identical to the behavior that was observed also in <a href="#micromachines-15-00866-f008" class="html-fig">Figure 8</a>. (<b>b</b>) The wider rings have achieved the optimal etched depth of 4.08 μm.</p>
Full article ">Figure 13
<p>SEM images of inner and outer rings of a lens. (<b>a</b>) The central ring achieves a step height of 4.2 μm. The verticality of the step change is excellent. (<b>b</b>) For outer rings, the measured step height is 3.9 μm. Both rings are very close to the 4 μm target step height.</p>
Full article ">Figure 14
<p>Various SEM images showing Si Fresnel lenses. (<b>a</b>) shows the outer rings and (<b>b</b>) shows half of a Si Fresnel lens. (<b>c</b>) shows the cross-section of a Si lens.</p>
Full article ">Figure 15
<p>(<b>a</b>) An “infrared” image taken (<b>b</b>) with the <math display="inline"><semantics> <mi>f</mi> </semantics></math>#/1 and 1.2 cm diameter lens shown on and (<b>c</b>) attached to the camera housing using a custom mount as seen in image.</p>
Full article ">
13 pages, 9241 KiB  
Article
The Synthesis and Assembly Mechanism of Micro/Nano-Sized Polystyrene Spheres and Their Application in Subwavelength Structures
by Yeeu-Chang Lee, Hsu-Kang Wu, Yu-Zhong Peng and Wei-Chun Chen
Micromachines 2024, 15(7), 841; https://doi.org/10.3390/mi15070841 - 28 Jun 2024
Cited by 1 | Viewed by 549
Abstract
The following study involved the utilization of dispersion polymerization to synthesize micron/nano-sized polystyrene (PS) spheres, which were then deposited onto a silicon substrate using the floating assembly method to form a long-range monolayer. Subsequently, dry etching techniques were utilized to create subwavelength structures. [...] Read more.
The following study involved the utilization of dispersion polymerization to synthesize micron/nano-sized polystyrene (PS) spheres, which were then deposited onto a silicon substrate using the floating assembly method to form a long-range monolayer. Subsequently, dry etching techniques were utilized to create subwavelength structures. The adjustment of the stabilizer polyvinylpyrrolidone (PVP), together with changes in the monomer concentration, yielded PS spheres ranging from 500 nm to 5.6 μm in diameter. These PS spheres were suspended in a mixture of alcohol and deionized water before being arranged using the floating assembly method. The resulting tightly packed particle arrangement is attributed to van der Waals forces, Coulomb electrostatic forces between the PS spheres, and surface tension effects. The interplay of these forces was analyzed to comprehend the resulting structure. Dry etching, utilizing the PS spheres as masks, enabled the exploration of the effects of etching parameters on the resultant structures. Unlike traditional dry etching methods controlling RF power and etching gases, in the present study, we focused on adjusting the oxygen flow rate to achieve cylindrical, conical, and parabolic etched structures. Full article
(This article belongs to the Special Issue Recent Advances in Micro/Nano-Fabrication)
Show Figures

Figure 1

Figure 1
<p>Arrangement of PS spheres using the floating assembly method.</p>
Full article ">Figure 2
<p>Schematic illustration of the HDP etching processes.</p>
Full article ">Figure 3
<p>SEM images of PS spheres of different sizes prepared according to the perspective parameters listed in <a href="#micromachines-15-00841-t001" class="html-table">Table 1</a>. For SEM inspection, the spheres are assembled on Si substrate at a temperature of 22 °C from a deionized water–methanol mixture, without attending long-range order.</p>
Full article ">Figure 4
<p>(<b>a</b>) Schematic diagram of one particle with vertical and horizontal components of surface supporting force in balance; (<b>b</b>) schematic diagram of particles A and B approaching each other due to imbalance in horizontal components of surface supporting force.</p>
Full article ">Figure 5
<p>Results of PS sphere arrangement in deionized water at different temperatures, as follows: (<b>a</b>) 20 °C and (<b>b</b>) 35 °C.</p>
Full article ">Figure 6
<p>Arrangement of PS spheres on Si from a suspension in deionized water and (<b>a</b>) methanol, (<b>b</b>) ethanol, obtained at 22 °C.</p>
Full article ">Figure 7
<p>Arrangement of PS spheres at different ratios of ethanol to PS spheres to deionized water. (<b>a</b>) 1:2:2; (<b>b</b>) 2:2:2; (<b>c</b>) 3:2:2.</p>
Full article ">Figure 8
<p>SEM images of etching for 5 min with fixed RF power at 50 W and chamber pressure at 25 mTorr; the gas flow rates of SF<sub>6</sub> and Ar were kept constant at 50 sccm and 25 sccm, with different O<sub>2</sub> flow rates of (<b>a</b>) 5 sccm, (<b>b</b>) 15 sccm, and (<b>c</b>) 25 sccm.</p>
Full article ">Figure 9
<p>(<b>a</b>) Schematic diagram of the arrangement gaps between PS spheres and the (<b>b</b>) SEM image of the etching structure. The yellow area on the left shows the gaps between the tightly packed PS spheres, which are the regions prone to etching. The etching produces the indentations circled in yellow on the right.</p>
Full article ">Figure 10
<p>SEM images of etching for 5 min with fixed RF power at 100 W and chamber pressure at 25 mTorr; the gas flow rates of SF<sub>6</sub> and Ar were kept constant at 50 sccm and 25 sccm, with different O<sub>2</sub> flow rates of (<b>a</b>) 15, (<b>b</b>) 25, (<b>c</b>) 35, (<b>d</b>) 40, and (<b>e</b>) 50 sccm.</p>
Full article ">
20 pages, 11059 KiB  
Article
Size-Effect-Based Dimension Compensations in Wet Etching for Micromachined Quartz Crystal Microstructures
by Yide Dong, Guangbin Dou, Zibiao Wei, Shanshan Ji, Huihui Dai, Kaiqin Tang and Litao Sun
Micromachines 2024, 15(6), 784; https://doi.org/10.3390/mi15060784 - 14 Jun 2024
Viewed by 3191
Abstract
Microfabrication technology with quartz crystals is gaining importance as the miniaturization of quartz MEMS devices is essential to ensure the development of portable and wearable electronics. However, until now, there have been no reports of dimension compensation for quartz device fabrication. Therefore, this [...] Read more.
Microfabrication technology with quartz crystals is gaining importance as the miniaturization of quartz MEMS devices is essential to ensure the development of portable and wearable electronics. However, until now, there have been no reports of dimension compensation for quartz device fabrication. Therefore, this paper studied the wet etching process of Z-cut quartz crystal substrates for making deep trench patterns using Au/Cr metal hard masks and proposed the first quartz fabrication dimension compensation strategy. The size effect of various sizes of hard mask patterns on the undercut developed in wet etching was experimentally investigated. Quartz wafers masked with initial vias ranging from 3 μm to 80 μm in width were etched in a buffered oxide etch solution (BOE, HF:NH4F = 3:2) at 80 °C for prolonged etching (>95 min). It was found that a larger hard mask width resulted in a smaller undercut, and a 30 μm difference in hard mask width would result in a 17.2% increase in undercut. In particular, the undercuts were mainly formed in the first 5 min of etching with a relatively high etching rate of 0.7 μm/min (max). Then, the etching rate decreased rapidly to 27%. Furthermore, based on the etching width compensation and etching position compensation, new solutions were proposed for quartz crystal device fabrication. And these two kinds of compensation solutions were used in the fabrication of an ultra-small quartz crystal tuning fork with a resonant frequency of 32.768 kHz. With these approaches, the actual etched size of critical parts of the device only deviated from the designed size by 0.7%. And the pattern position symmetry of the secondary lithography etching process was improved by 96.3% compared to the uncompensated one. It demonstrated significant potential for improving the fabrication accuracy of quartz crystal devices. Full article
(This article belongs to the Special Issue Two-Dimensional Materials for Electronic and Optoelectronic Devices)
Show Figures

Figure 1

Figure 1
<p>(<b>a</b>) Etching groove with an initial width of 70 μm etched in 100 min; (<b>b</b>) ultra-small quartz MEMS tuning fork resonator; (<b>c</b>) the effect of beam width on resonant frequency; (<b>d</b>) surface etching grooves of the tuning fork and its offset; (<b>e</b>) the effect of <span class="html-italic">D<sub>offset</sub></span> on the resonant frequency.</p>
Full article ">Figure 2
<p>(<b>a</b>) Long-strip patterns of the metal hard mask widened from 3 μm to 80 μm; (<b>b</b>) proposed etching plane (<b>P<sub>1</sub></b>, <b>P<sub>2</sub></b>, <b>P<sub>3</sub></b>) of a typical bilateral cross-section of the etched grooves; (<b>c</b>) optical image of the cross-sections of the 14 grooves after 100 min etching.</p>
Full article ">Figure 3
<p>The etching evolution of grooves with 45 μm initial width in 150 min. (<b>a</b>) The three etching planes appeared; (<b>b</b>) <b>P<sub>2</sub></b> shrunk gradually; (<b>c</b>) <b>P<sub>2</sub></b> shrunk gradually; (<b>d</b>) <b>P<sub>2</sub></b> disappeared. (<b>e</b>) <b>P<sub>1</sub></b> shrunk gradually; (<b>f</b>) <b>P<sub>1</sub></b> shrunk gradually; (<b>g</b>) <b>P<sub>1</sub></b> disappeared; (<b>h</b>) <b>P<sub>3</sub></b> shrunk gradually; (<b>i</b>) <b>P<sub>3</sub></b> disappeared; (<b>j</b>) the complete evolution.</p>
Full article ">Figure 4
<p>(<b>a</b>) <b>U<sub>1</sub></b> with different initial widths versus etching time; (<b>b</b>) the <b>U<sub>1</sub></b> of group A versus etching time and the etching depth of 5 μm versus etching time.</p>
Full article ">Figure 5
<p>(<b>a</b>) <b>U<sub>1</sub></b> for group B versus etching time; (<b>b</b>) <b>U<sub>1</sub></b> with an initial width of 3 μm versus etching time; (<b>c</b>) <b>U<sub>1</sub></b> with an initial width of 10 μm versus etching time; (<b>d</b>) <b>U<sub>1</sub></b> with an initial width of 35 μm versus etching time. (<b>e</b>) The <b>U<sub>1</sub></b> with initial widths of 15 μm and 45 μm versus etching time.</p>
Full article ">Figure 6
<p>(<b>a</b>) <b>U<sub>1</sub></b> for group C versus etching time; (<b>b</b>) <b>U<sub>1</sub></b> of group C versus etching time from 100 to 120 min; (<b>c</b>) <b>U<sub>1</sub></b> for selected data sets versus etching time; (<b>d</b>) the undercut <b>U<sub>1</sub></b> with different hard mask widths for the fixed etching time.</p>
Full article ">Figure 7
<p>(<b>a</b>) The etching rate <b>V<sub>u1</sub></b> versus etching time for different initial widths; (<b>b</b>) schematic diagram of etchant-etched metal layer and formed undercut; (<b>c</b>) SEM image of a hard mask width of 40 μm etched for 30 s; (<b>d</b>) the standard deviation of the etching rate from 75 to 120 min for representative widths; (<b>e</b>) box plots for etching grooves from 10 to 120 min.</p>
Full article ">Figure 8
<p>(<b>a</b>) <b>V<sub>u1</sub></b> versus etching time for group A; (<b>b</b>) <b>V<sub>u1</sub></b> versus etching time for group B; (<b>c</b>) <b>V<sub>u1</sub></b> for group C versus etching time.</p>
Full article ">Figure 9
<p>(<b>a</b>) The undercut <b>U<sub>2</sub></b> versus etching time for different initial widths; (<b>b</b>) the undercut <b>U<sub>2</sub></b> versus etching time for group A; (<b>c</b>) the undercut <b>U<sub>2</sub></b> versus etching time for group B; (<b>d</b>) the undercut <b>U<sub>2</sub></b> versus etching time for three representative initial widths of 10 μm, 20 μm, and 45 μm.</p>
Full article ">Figure 10
<p>(<b>a</b>) The undercut <b>U<sub>2</sub></b> for group C versus etching time; (<b>b</b>) <b>U<sub>2</sub></b> for group C versus etching time after 100 min; (<b>c</b>) <b>U<sub>2</sub></b> versus etching time for three representative initial widths of 5 μm, 30 μm, and 80 μm; (<b>d</b>) <b>U<sub>2</sub></b> versus initial widths at fixed etching time.</p>
Full article ">Figure 11
<p>(<b>a</b>) The etching rate <b>V<sub>u2</sub></b> versus etching time for different initial widths; (<b>b</b>) standard deviation of the <b>V<sub>u2</sub></b> from 80 min to 120 min for representative widths; (<b>c</b>) box plot of each groove from 20 min to 120 min.</p>
Full article ">Figure 12
<p>(<b>a</b>) <b>V<sub>u2</sub></b> versus etching time for group A; (<b>b</b>) <b>V<sub>u2</sub></b> versus etching time for group B; (<b>c</b>) <b>V<sub>u2</sub></b> versus etching time for group C.</p>
Full article ">Figure 13
<p>(<b>a</b>) The diagram of the fabricated tuning fork and its target beam size; (<b>b</b>) schematic of the etching width dimension compensation; (<b>c</b>) designed pattern size; (<b>d</b>) actual etched size.</p>
Full article ">Figure 14
<p>(<b>a</b>) The diagram of the fabricated TF, the typical surface etching grooves, and their cross-section; (<b>b</b>) schematic of the principle of etching position compensation.</p>
Full article ">Figure 15
<p>(<b>a</b>) The quartz wafer with metal hard mask and photoresist; (<b>b</b>) lithography and development of device shape; (<b>c</b>) pattern of the Cr/Au hard mask; (<b>d</b>) remove photoresist; (<b>e</b>) etch device shape in etchant; (<b>f</b>) spray photoresist; (<b>g</b>) secondary lithography and development of surface etching grooves; (<b>h</b>) pattern of the Cr/Au hard mask; (<b>i</b>) remove photoresist; (<b>j</b>) etch surface etching grooves in etchant.</p>
Full article ">Figure 16
<p>(<b>a</b>) The schematic of the etching dimension compensation with two rounds of etching width compensation and one round of etching position compensation; (<b>b</b>) the designed pattern with 2 μm etching position compensation after the first etching stage; (<b>c</b>) the actual etching result with position compensation; (<b>d</b>) the actual etching result without position compensation.</p>
Full article ">Figure 17
<p>(<b>a</b>) The fabricated ultra-small tuning fork device placed on a rice grain; (<b>b</b>) the testing result of the tuning fork compared with a certain company’s product.</p>
Full article ">
15 pages, 20668 KiB  
Article
Anodic Dissolution Characteristics of GH4169 Alloy in NaNO3 Solutions by Roll-Print Mask Electrochemical Machining Using the Linear Cathode
by Ge Qin, Shiwei Li, Lei Han, Huan Liu, Shen Niu, Pingmei Ming and Liang Yan
Materials 2024, 17(11), 2729; https://doi.org/10.3390/ma17112729 - 4 Jun 2024
Viewed by 530
Abstract
GH4169 alloy/Inconel 718 is extensively utilized in aerospace manufacturing due to its excellent high temperature mechanical properties. Micro-structuring on the workpiece surface can enhance its properties further. Through-mask electrochemical micromachining (TMEMM) is a promising and potential processing method for nickel-based superalloys. It can [...] Read more.
GH4169 alloy/Inconel 718 is extensively utilized in aerospace manufacturing due to its excellent high temperature mechanical properties. Micro-structuring on the workpiece surface can enhance its properties further. Through-mask electrochemical micromachining (TMEMM) is a promising and potential processing method for nickel-based superalloys. It can effectively solve the problem that traditional processing methods are difficult to achieve large-scale, high-precision and efficiency processing of surface micro-structure. This study explores the feasibility of electrochemical machining (ECM) for GH4169 using roll-print mask electrochemical machining with a linear cathode. Electrochemical dissolution characteristics of GH4169 alloy were analyzed in various electrolyte solutions and concentrations. Key parameters including cathode sizes, applied voltage and corrosion time were studied in the roll-print mask electrochemical machining. A qualitative model for micro-pit formation on GH4169 was established. Optimal parameters were determined through experiments: 300 μm mask hole and cathode size, 10 wt% NaNO3 electrolyte, 12 V voltage, 6 s corrosion time. The results demonstrate that the micro-pits with a diameter of 402.3 μm, depth of 92.8 μm and etch factor (EF) of 1.81 show an excellent profile and localization. Full article
(This article belongs to the Special Issue Corrosion Technology and Electrochemistry of Metals and Alloys)
Show Figures

Figure 1

Figure 1
<p>Principle of the roll-print mask electrochemical machining using the linear cathode. (<b>a</b>) Schematic diagram of the working process; (<b>b</b>) the rolling device.</p>
Full article ">Figure 2
<p>Schematic diagram of the experimental system of the roll-print mask electrochemical machining using the linear cathode.</p>
Full article ">Figure 3
<p>Determination of polarization curves in (<b>a</b>) Different electrolytes; (<b>b</b>) NaNO<sub>3</sub> electrolyte with different concentration.</p>
Full article ">Figure 4
<p>The ηω-j curve of GH4169 alloy in linear cathode electrolysis state.</p>
Full article ">Figure 5
<p>Effect of the linear cathode size on micro-pit forming. (<b>a</b>) d = 100 μm; (<b>b</b>) d = 300 μm; (<b>c</b>) d = 500 μm.</p>
Full article ">Figure 6
<p>Effect of applied voltage on micro-pit forming. (<b>a</b>) U = 10 V; (<b>b</b>) U = 11 V; (<b>c</b>) U = 12 V; (<b>d</b>) U = 13 V.</p>
Full article ">Figure 7
<p>Effect of corrosion time on micro-pits forming. (<b>a</b>) t = 2 s; (<b>b</b>) t = 4 s; (<b>c</b>) t = 6 s; (<b>d</b>) t = 8 s.</p>
Full article ">Figure 8
<p>The effect of process parameters on the EF. (<b>a</b>) Size of the linear cathode; (<b>b</b>) applied voltage; (<b>c</b>) corrosion time.</p>
Full article ">Figure 9
<p>Schematic model of the electrochemical dissolution behavior of GH4169 alloy using the linear cathode in NaNO<sub>3</sub> solution. (<b>a</b>) The initial workpiece surface; (<b>b</b>) The partial breakdown of surface passivation film; (<b>c</b>) The surface passivation film is completely broken down and the electrochemical reaction is basically completed.; (<b>d</b>) The electrochemical reaction is overdone.</p>
Full article ">Figure 10
<p>Surface topography of micro-pit arrays on the GH4169 alloy.</p>
Full article ">Figure 11
<p>Size distribution of micro-pit arrays. (<b>a</b>) Diameter and depth of micro-pits; (<b>b</b>) aspect ratio and EF of micro-pits.</p>
Full article ">Figure 12
<p>Surface topography of triangular micro-pit arrays on the GH4169 alloy.</p>
Full article ">Figure 13
<p>Size distribution of triangular micro-pit arrays. (<b>a</b>) Average length of side and depth of triangular micro-pits; (<b>b</b>) aspect ratio and EF of triangular micro-pits.</p>
Full article ">
13 pages, 3513 KiB  
Article
On reactive Ion Etching of Parylene-C with Simple Photoresist Mask for Fabrication of High Porosity Membranes to Capture Circulating and Exfoliated Tumor Cells
by Inad Rabadi, David Carpentieri, Jue Wang, Frederic Zenhausern and Jian Gu
Micromachines 2024, 15(4), 521; https://doi.org/10.3390/mi15040521 - 13 Apr 2024
Viewed by 1046
Abstract
A high porosity micropore arrayed parylene membrane is a promising device that is used to capture circulating and exfoliated tumor cells (CTCs and ETCs) for liquid biopsy applications. However, its fabrication still requires either expensive equipment or an expensive process. Here, we report [...] Read more.
A high porosity micropore arrayed parylene membrane is a promising device that is used to capture circulating and exfoliated tumor cells (CTCs and ETCs) for liquid biopsy applications. However, its fabrication still requires either expensive equipment or an expensive process. Here, we report on the fabrication of high porosity (>40%) micropore arrayed parylene membranes through a simple reactive ion etching (RIE) that uses photoresist as the etching mask. Vertical sidewalls were observed in etched parylene pores despite the sloped photoresist mask sidewalls, which was found to be due to the simultaneous high DC-bias RIE induced photoresist melting and substrate pedestal formation. A theoretical model has been derived to illustrate the dependence of the maximum membrane thickness on the final pore-to-pore spacing, and it is consistent with the experimental data. A simple, yet accurate, low number (<50) cell counting method was demonstrated through counting cells directly inside a pipette tip under phase-contrast microscope. Membranes as thin as 3 μm showed utility for low number tumor cell capture, with an efficiency of 87–92%. Full article
Show Figures

Figure 1

Figure 1
<p>Schematics of a RIE chamber and fabrication of porous parylene membrane by simple RIE using photoresist as a mask.</p>
Full article ">Figure 2
<p>(<b>a</b>) Close-packed hexagonal micropore array patterns within a 4″ wafer area. Each array is designated by the pore size <span class="html-italic">D</span> and spacing <span class="html-italic">S</span> in the format of <span class="html-italic">D</span>-<span class="html-italic">S</span> in micrometers; (<b>b</b>) Zoom-in view of a hexagonal micropore array.</p>
Full article ">Figure 3
<p>(<b>a</b>) Schematic illustration of the parylene porous microarray fabrication by SPE (PR: photoresist); (<b>b</b>) parylene membrane on Si wafer after RIE (left), and parylene membrane with a microporous array on a glass slide after successfully peeled off the Si wafer (right); (<b>c</b>) photoresist profile after development (two left images) and the final parylene profiles after etching (two right images: with and without photoresist etch mask). Surprising vertical sidewalls were observed in etched parylene pores.</p>
Full article ">Figure 4
<p>Optical microscope cross-sectional views of (<b>a</b>) high (420 V) and (<b>b</b>) low (250 V) DC bias RIE recipe etched 20-µm-period grating samples at etching time points of 0, 5, 15, 20, 25 min with 10-µm-thick AZ10XT photoresist mask on 4.5-µm-thick Parylene-C film.</p>
Full article ">Figure 5
<p>(<b>a</b>) Schematics of photoresist shape change due to melting for theoretical analysis of <span class="html-italic">H<sub>pa,max</sub></span> vs. <span class="html-italic">S<sub>f</sub></span>; (<b>b</b>) plot of <span class="html-italic">H<sub>pa,max</sub></span> vs. <span class="html-italic">S<sub>f</sub></span> from etched porous parylene membranes; FESEM images of fabricated porous parylene membranes with (<b>c</b>) <span class="html-italic">D<sub>f</sub></span>/<span class="html-italic">S<sub>f</sub></span> = 9/3 μm and 3 μm thickness (a thin photoresist mask remains at the top). Left/Right: top/cross-sectional views; (<b>d</b>) <span class="html-italic">D<sub>f</sub></span>/<span class="html-italic">S<sub>f</sub></span> = 9.5/4.5 μm and 6 μm thickness (a thin photoresist mask remains at the top). Left/Right: top/cross-sectional views; (<b>e</b>) <span class="html-italic">D<sub>f</sub></span>/<span class="html-italic">S<sub>f</sub></span> = 40/6 μm and 6 μm thickness (thin remaining photoresist mask is removed). Top/Bottom: top/tilted views.</p>
Full article ">Figure 6
<p>Low number of SJSA-1 cell counting in a 10 µL pipette tip. (<b>a</b>) SJSA-1 cells observed by phase-contrast microscopy in the tip; (<b>b</b>) same SJSA-1 cells loaded into a counting chamber slide and counted under phase-contrast microscopy; (the arrows show locations of representative cells inside the pipette tip and the counting chamber respectively.) (<b>c</b>) three counting results with the numbers from the pipette tip and the numbers from a counting chamber slide.</p>
Full article ">Figure 7
<p>(<b>a</b>) CellTracker (<b>left</b>), DAPI (<b>middle</b>), and combined (<b>right</b>) fluorescent images of a capture SJSA-1 cell; Spiked cell capture rates for (<b>b</b>) low spiked cell number and (<b>c</b>) high spiked cell number.</p>
Full article ">
3 pages, 1156 KiB  
Abstract
Electrostatic Microelectromechanical System Speaker Array with Out-of-Plane Piston Displacement and Simplified Microfabrication
by Diogo E. Aguiam, Inês S. Garcia, Edoardo Sotgiu and Filipe S. Alves
Proceedings 2024, 97(1), 101; https://doi.org/10.3390/proceedings2024097101 - 27 Mar 2024
Viewed by 586
Abstract
This study presents a new design for a MEMS electrostatic speaker array with out-of-plane piston-like diaphragm displacement using a simplified silicon-on-insulator microfabrication process. The device comprises an array of parallel actuating membranes with small circular mechanically open but acoustically sealed apertures that enable [...] Read more.
This study presents a new design for a MEMS electrostatic speaker array with out-of-plane piston-like diaphragm displacement using a simplified silicon-on-insulator microfabrication process. The device comprises an array of parallel actuating membranes with small circular mechanically open but acoustically sealed apertures that enable controlled etching of the buried oxide to be released directly from the front side, but retain a high acoustic impedance acting as a flat membrane. This approach simplifies the microfabrication process, requiring only two lithography masks and increasing process tolerances. Preliminary experimental measurements validate the concept and demonstrate the electromechanical and acoustic performance compared with theoretical models. Full article
Show Figures

Figure 1

Figure 1
<p>(<b>a</b>) Schematic of the microspeaker array and single diaphragm element. (<b>b</b>) COMSOL simulation of vibration modes for a single membrane showing a piston-like fundamental mode.</p>
Full article ">Figure 2
<p>(<b>a</b>) SEM image of one microspeaker membrane and etch openings. (<b>b</b>) Piston-like RMS deflection measurement. Membrane deflection for a sinusoidal actuation (<b>c</b>,<b>d</b>) and compensated (<b>e</b>,<b>f</b>) voltage signal. Frequency response of the (<b>g</b>) membrane deflection and (<b>h</b>) SPL of the microspeaker.</p>
Full article ">
12 pages, 2852 KiB  
Article
A Nanograss Boron and Nitrogen Co-Doped Diamond Sensor Produced via High-Temperature Annealing for the Detection of Cadmium Ions
by Xiaoxi Yuan, Yaqi Liang, Mingchao Yang, Shaoheng Cheng, Nan Gao, Yongfu Zhu and Hongdong Li
Nanomaterials 2023, 13(22), 2955; https://doi.org/10.3390/nano13222955 - 15 Nov 2023
Cited by 1 | Viewed by 978
Abstract
The high-performance determination of heavy metal ions (Cd2+) in water sources is significant for the protection of public health and safety. We have developed a novel sensor of nanograss boron and nitrogen co-doped diamond (NGBND) to detect Cd2+ using a [...] Read more.
The high-performance determination of heavy metal ions (Cd2+) in water sources is significant for the protection of public health and safety. We have developed a novel sensor of nanograss boron and nitrogen co-doped diamond (NGBND) to detect Cd2+ using a simple method without any masks or reactive ion etching. The NGBND electrode is constructed based on the co-doped diamond growth mode and the removal of the non-diamond carbon (NDC) from the NGBND/NDC composite. Both the enlarged surface area and enhanced electrochemical performance of the NGBND film are achievable. Scanning electron microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy, cyclic voltammetry, electrochemical impedance spectroscopy, and differential pulse anodic stripping voltammetry (DPASV) were used to characterize the NGBND electrodes. Furthermore, we used a finite element numerical method to research the current density near the tip of NGBND. The NGBND sensor exhibits significant advantages for detecting trace Cd2+ via DPASV. A broad linear range of 1 to 100 μg L−1 with a low detection limit of 0.28 μg L−1 was achieved. The successful application of this Cd2+ sensor indicates considerable promise for the sensitive detection of heavy metal ions. Full article
(This article belongs to the Special Issue Carbon Nanomaterials for Electrochemical Applications)
Show Figures

Graphical abstract

Graphical abstract
Full article ">Figure 1
<p>SEM images of the (<b>a</b>) NGBND/NDC composite film deposited with a CH<sub>4</sub>/H<sub>2</sub>/B/N<sub>2</sub> flow rate of 20/200/2/1 sccm and the (<b>b</b>) NGBND film fabricated by etching the NDC phase (annealing in a quartz tube at 800 °C for 20 min in the air) from the composite. (<b>c</b>,<b>d</b>) are the images of (<b>a</b>,<b>b</b>), respectively, obtained at high magnification.</p>
Full article ">Figure 2
<p>OES spectrum of the growth stage of the NGBND/NDC composite.</p>
Full article ">Figure 3
<p>Raman spectra of the NGBND/NDC composite film and NGBND film.</p>
Full article ">Figure 4
<p>(<b>a</b>) Entire XPS scanning spectrum of NGBND. XPS high-resolution survey scan of (<b>b</b>) B 1s, (<b>c</b>) C 1s, (<b>d</b>) N 1s, (<b>e</b>) O 1s, and (<b>f</b>) Si 2p of NGBND. The black, red, and blue line is the experimental data, overall fit and background line in the subfigures (<b>b</b>–<b>f</b>).</p>
Full article ">Figure 5
<p>(<b>a</b>) CV curves of the NGBND/NDC composite and NGBND electrodes in 0.1 M acetate buffer at scan rates of 50 mV s<sup>−1</sup>. (<b>b</b>) EIS of NGBND/NDC composite and NGBND electrodes tested in a 5 mM Fe(CN)<sub>6</sub><sup>3−/4−</sup> solution containing 0.1 M KCl. The insert graph is a locally enlarged EIS image of NGBND.</p>
Full article ">Figure 6
<p>(<b>a</b>) DPASV diagrams of Cd<sup>2+</sup> with concentrations between 1 and 100 μg L<sup>−1</sup> on the NGBND electrode. (<b>b</b>) Calibration curve for Cd<sup>2+</sup> detection. The error bars represent the relative standard deviations of triple measurements. The buffer used is 0.1 M acetate buffer (pH = 5.5).</p>
Full article ">Figure 7
<p>Current density distributions on the surface of NGBND at the electrode tip, which increase as the tip radius decreases. The tip radius of the structure in each panel is (<b>a</b>) 5 nm, (<b>b</b>) 50 nm, and (<b>c</b>) 100 nm.</p>
Full article ">
11 pages, 2132 KiB  
Article
Femtosecond Laser Machining of an X-ray Mask in a 500 Micron-Thick Tungsten Sheet
by Ebenezer Owusu-Ansah and Colin Dalton
Micromachines 2023, 14(11), 2071; https://doi.org/10.3390/mi14112071 - 7 Nov 2023
Viewed by 1046
Abstract
Femtosecond laser material processing (FLMP) was used to make an X-ray mask in a 500 µm thick tungsten sheet without the use of any chemical etch methods. The laser produced an 800 nm wavelength at a 1 kHz repetition rate and a pulse [...] Read more.
Femtosecond laser material processing (FLMP) was used to make an X-ray mask in a 500 µm thick tungsten sheet without the use of any chemical etch methods. The laser produced an 800 nm wavelength at a 1 kHz repetition rate and a pulse width of 100 fs. The laser beam arrival at the tungsten sheet was synchronized to a computer numerically controlled (CNC) stage that allowed for motion in the XYZθ directions. The X-ray mask design was made using CAD/CAM software (Alphacam 2019 R1) and it consisted of linear, circular, and 45° angle features that covered an area of 10 mm × 10 mm. A total of 70 laser beam passes at a moderate laser energy of 605.94 J/cm2 were used to make through-cut features into the tungsten sheet. The morphology of the top view (laser incident, LS) images showed cleaner and smoother cut edges relative to the bottom view (laser exit, LE) images. It was found that the size dimensions of the through-cut features on the LE surfaces were better aligned with the CAD dimensions than those of the LS surfaces. The focused laser beam produced inclined cut surfaces as the beam made the through cut from the LS to the LE of the tungsten sheet. The circular features at the LS surface deviated toward being oval-like on the LE surface, which could be compensated for in future CAD designs. The dependence of the CNC processing speed on the thickness of the etch depth was determined to have a third-order exponential decay relationship, thereby producing a theoretical model that will be useful for future investigators to predict the required experimental parameters needed to achieve a known etch depth in tungsten. This is the first study that has demonstrated the capability of using a femtosecond laser to machine through-cut an X-ray mask in a 500 µm thick tungsten sheet with no involvement of a wet etch or any other such supporting process. Full article
(This article belongs to the Special Issue Ultrafast Laser Micro- and Nanoprocessing)
Show Figures

Figure 1

Figure 1
<p>A simplified schematic showing the experimental setup used in the FLMP of a 0.5 mm thick tungsten sheet. The angle of incidence of the focused laser beam was perpendicular to the tungsten sheet surface.</p>
Full article ">Figure 2
<p>A 2D top view of a CAD schematic representation of an X-ray mask to be machined in a 0.5 mm thick tungsten substrate. Dimensions are in mm units. The width of the channels, called channel throat, was 0.13 mm, while the distance between the two channel features, called pore body, was separated at lengths ranging from 0.17–0.38 mm. The diameter of all of the circular features was 0.25 mm. Notations such as (L1) and (L2) simply denote the dimensions where there were comparison data on the CAD, laser incident surface side (LS), and laser exit (LE). See a further discussion in <a href="#micromachines-14-02071-t001" class="html-table">Table 1</a>.</p>
Full article ">Figure 3
<p>(<b>A</b>–<b>D</b>) The top view images (laser incident (LS) surface). (<b>E</b>–<b>H</b>) The bottom view images (laser exit (LE) surface) of the FLMP X-ray mask. A and E are the optical camera images that show the total area view, while the rest of the images (<b>B</b>–<b>D</b>,<b>F</b>–<b>H</b>) were taken with an SEM that showed a smaller area view. Images (<b>A–D</b>) are representative of top view and (<b>E</b>–<b>H</b>) are representative of bottom view images. The arrows are pointing to morphological regions of interest, including circles, ovals, saw-like shapes, and machined debris. The trapezoid geometry in (<b>D</b>) illustrates the cross-section of the channel, where the long and short base lines represent the LS and LE length, respectively. The scale bar on (<b>D</b>) is for all images except (<b>A</b>,<b>E</b>).</p>
Full article ">Figure 4
<p>SEM images taken at 90° to the cut surface of the (<b>A</b>) as-purchased tungsten sheet in comparison to that of the (<b>B</b>) FLMP cut surface. The LS surface and LE surface arrows point to the laser incident and laser exit regions across the 0.5 mm thick tungsten sheet. The laser cut direction is from the LS to the LE surfaces, as indicated by the cut direction arrow. The LS region had a smooth cut edge relative to the LE region (dashed rectangle).</p>
Full article ">Figure 5
<p>(<b>A</b>) A line profile scan across multiple FLMP-etched depths into tungsten sheet at a constant fluence of 353.47 J/cm<sup>2</sup> and at different CNC processing speeds. A pitch of 5 µm was used, and the different speeds in mm/s are shown below each depth. (<b>B</b>) An exponential decay fitting based on measured experimental data points (black squares) as a function of the CNC processing speed. The theoretical fitting results are given on the graph.</p>
Full article ">
11 pages, 2107 KiB  
Article
The Fabrication and Characterization of Silicon Surface Grooving Using the CV Etching Technique for Front Deep Metallic Contact Solar Cells
by Mohamed Ben Rabha, Karim Choubani, Belgacem Bouktif, Mohammed A. Almeshaal, Khaled Trabelsi, Anouar Hajjaji, Ridha Ennetta, Abdallah Bouabidi and Murugesan Palaniappan Papathi
Sustainability 2023, 15(21), 15638; https://doi.org/10.3390/su152115638 - 6 Nov 2023
Viewed by 1326
Abstract
This study experimentally investigated the use of the chemical vapor etching method for silicon surface grooving for regular front deep metallic contact solar cell applications. The thickness of silicon wafers is a crucial parameter in the production of solar cells with front and [...] Read more.
This study experimentally investigated the use of the chemical vapor etching method for silicon surface grooving for regular front deep metallic contact solar cell applications. The thickness of silicon wafers is a crucial parameter in the production of solar cells with front and back buried contacts, because silicon surface grooves result in a larger contact area, which in turn improves carrier collection and increases the collection probability for minority carriers. A simple, low-cost HNO3/HF chemical vapor etching technique was used to create grooves on silicon wafers with the help of a highly effective anti-acid mask. The thick porous layer of powder that was produced was easily dissolved in water, leaving patterned grooved areas on the silicon substrate. A linear dependence was observed between the etched thickness and time, suggesting that the etching process followed a constant etch rate, something that is crucial for ensuring precise and reproducible etching results for the semiconductor and microfabrication industries. Moreover, by creating shorter pathways for charge carriers to travel to their respective contacts, front deep contacts minimize the overall distance they need to traverse and therefore reduce the chance of carrier recombination within the silicon material. As a result, the internal quantum efficiency of solar cells with front deep metallic contacts improved by 35% compared to mc-Si solar cells having planar contacts. The use of front deep contacts therefore represents a forward-looking strategy for improving the performance of silicon solar cells. Indeed, this innovative electrode configuration improves charge carrier collection, mitigates recombination losses, and ultimately leads to more efficient and effective solar energy conversion, which contributes to sustainable energy development in the areas of clean energy resources. Further work needs to be undertaken to develop energy sustainably and consider other clean energy resources. Full article
(This article belongs to the Section Energy Sustainability)
Show Figures

Figure 1

Figure 1
<p>(<b>a</b>) Formation of anti-acid mask, (<b>b</b>) Experimental set-up for the chemical vapor etching technique, and (<b>c</b>) Formation of porous layer powder.</p>
Full article ">Figure 2
<p>EM cross-section of a chemical vapor etching grooved area in mc-Si.</p>
Full article ">Figure 3
<p>FTIR spectrum of a grooved sample showing the “fringing effect”.</p>
Full article ">Figure 4
<p>Variation in the thickness of grooves during different periods of attack.</p>
Full article ">Figure 5
<p>Solar cells before and after buried contact with (<b>a</b>) a simple metal contact form on the surface of the cell and (<b>b</b>) a buried metal contact form in the depth of the cell.</p>
Full article ">Figure 6
<p>(<b>a</b>) SEM image of mc-Si with front deep metallic contacts, (<b>b</b>) SEM cross-section view of a grooved area with a front deep metallic contact, and (<b>c</b>) Experimental quantum efficiency of mc-Si solar cells before and after front interdigitated contact.</p>
Full article ">
10 pages, 13379 KiB  
Article
An Ultraviolet-Lithography-Assisted Sintering Method for Glass Microlens Array Fabrication
by Fangyuan Zuo, Shenghua Ma, Wei Zhao, Chenqian Yang, Ziyu Li, Chen Zhang and Jintao Bai
Micromachines 2023, 14(11), 2055; https://doi.org/10.3390/mi14112055 - 2 Nov 2023
Cited by 3 | Viewed by 1180
Abstract
Glass microlens arrays (MLAs) have tremendous prospects in the fields of optical communication, sensing and high-sensitivity imaging for their excellent optical properties, high mechanical robustness and physicochemical stability. So far, glass MLAs are primarily fabricated using femtosecond laser modification assisted etching, in which [...] Read more.
Glass microlens arrays (MLAs) have tremendous prospects in the fields of optical communication, sensing and high-sensitivity imaging for their excellent optical properties, high mechanical robustness and physicochemical stability. So far, glass MLAs are primarily fabricated using femtosecond laser modification assisted etching, in which the preparation procedure is time-consuming, with each concave-shaped microlens being processed using a femtosecond laser point by point. In this paper, a new method is proposed for implementing large-scale glass MLAs using glass particle sintering with the assistance of ultraviolet (UV) lithography. The glass particles are dispersed into the photoresist at first, and then immobilized as large-scaled micropillar arrays on quartz glass substrate using UV lithographing. Subsequently, the solidified photoresist is debinded and the glass particles are melted by means of sintering. By controlling the sintering conditions, the convex microlens will be self-assembled, attributed to the surface tension of the molten glass particles. Finally, MLAs with different focal lengths (0.12 to 0.2 mm) are successfully fabricated by utilizing different lithography masks. Meanwhile, we also present the optimization of the sintering parameter for eliminating the bubbles in the microlenses. The main factors that affect the focal length of the microlens and the image performance of the MLAs have been studied in detail. Full article
Show Figures

Figure 1

Figure 1
<p>Schematic of fabricating MLAs using UVLAS. (<b>a</b>) Grinding; (<b>b</b>) stirring; (<b>c</b>) degassing; (<b>d</b>) spin coating; (<b>e</b>) baking; (<b>f</b>) UV lithography; (<b>g</b>) sintering; (<b>h</b>) shaping.</p>
Full article ">Figure 2
<p>Sintering process of MLAs utilizing UVLAS. (<b>a</b>) Sintering scheme; (<b>b<sub>1</sub>–b<sub>4</sub></b>) schematic diagrams of the microstructure and morphology changes in the MLAs at different stages; (<b>b<sub>1</sub></b>) preheating; (<b>b<sub>2</sub></b>) debinding; (<b>b<sub>3</sub></b>) melting; (<b>b<sub>4</sub></b>) cooling.</p>
Full article ">Figure 3
<p>Fabrication results of MLAs with different lithography masks. (<b>a</b>) <span class="html-italic">D</span><sub>1</sub> = 30 μm, <span class="html-italic">W</span><sub>1</sub> = 60 μm; (<b>b</b>) <span class="html-italic">D</span><sub>1</sub> = 40 μm, <span class="html-italic">W</span><sub>1</sub> = 70 μm; (<b>c</b>) <span class="html-italic">D</span><sub>1</sub> = 50 μm, <span class="html-italic">W</span><sub>1</sub> = 100 μm; (<b>d</b>) <span class="html-italic">D</span><sub>1</sub> = 60 μm, <span class="html-italic">W</span><sub>1</sub> = 100 μm; (<b>e</b>–<b>h</b>) magnified view of individual microlenses, respectively, in (<b>a</b>–<b>d</b>); (<b>i</b>–<b>l</b>) height morphology of individual microlenses, respectively, in (<b>e</b>–<b>h</b>). The scale bars in (<b>a</b>–<b>d</b>), (<b>e</b>–<b>h</b>) and (<b>i</b>–<b>l</b>) are 500 μm, 20 μm and 10 μm, respectively.</p>
Full article ">Figure 4
<p>Analysis of MLA fabrication results based on UVLAS. (<b>a</b>) Contact angles and the morphological symmetry of MLAs fabricated with different lithography masks; (<b>b</b>) variation in microlens diameter <span class="html-italic">D</span><sub>2</sub> and height <span class="html-italic">H</span><sub>2</sub> with respect to <span class="html-italic">D</span><sub>1</sub> (The percentage indicates the error ranges of the fabrication results); (<b>c</b>) variation in the microlens height <span class="html-italic">H</span><sub>2</sub> in relation to microlens diameter <span class="html-italic">D</span><sub>2</sub>; (<b>d</b>) variation in <span class="html-italic">D</span><sub>2</sub> in relation to <span class="html-italic">D</span><sub>1</sub>, and the focal length <math display="inline"><semantics> <mi>f</mi> </semantics></math> of the MLAs in relation to volume <span class="html-italic">V</span><sub>1</sub> of the GPs.</p>
Full article ">Figure 5
<p>Imaging performance of MLAs using UVLAS. (<b>a</b>) Schematic diagram of the optical measuring system; (<b>b</b>) optical imaging utilizing MLAs; (<b>c</b>) magnified optical imaging of a single microlens; (<b>d</b>) focusing performance of MLAs; (<b>e</b>) focal length analysis of MLAs; (<b>f</b>) characterization of the normalized light intensity distribution of the MLAs; (<b>g</b>) ultraviolet–near-infrared transmission spectra of MLAs and quartz glass substrate; (<b>h</b>) Raman spectra of MLAs and quartz glass substrate. The scale bars in (<b>b</b>–<b>d</b>) refer to 50, 15 and 50 μm, respectively.</p>
Full article ">
11 pages, 2111 KiB  
Article
Advanced Etching Techniques of LiNbO3 Nanodevices
by Bowen Shen, Di Hu, Cuihua Dai, Xiaoyang Yu, Xiaojun Tan, Jie Sun, Jun Jiang and Anquan Jiang
Nanomaterials 2023, 13(20), 2789; https://doi.org/10.3390/nano13202789 - 18 Oct 2023
Cited by 1 | Viewed by 1876
Abstract
Single LiNbO3 (LNO) crystals are widely utilized in surface acoustic wave devices, optoelectronic devices, and novel ferroelectric memory devices due to their remarkable electro-optic and piezoelectric properties, and high saturation and remnant polarizations. However, challenges remain regarding their nanofabrication that hinder their [...] Read more.
Single LiNbO3 (LNO) crystals are widely utilized in surface acoustic wave devices, optoelectronic devices, and novel ferroelectric memory devices due to their remarkable electro-optic and piezoelectric properties, and high saturation and remnant polarizations. However, challenges remain regarding their nanofabrication that hinder their applications. The prevailing etching techniques for LNO encompass dry etching, wet etching, and focused-ion-beam etching, each having distinct merits and demerits. Achieving higher etching rates and improved sidewall angles presents a challenge in LNO nanofabrication. Building upon the current etching researches, this study explores various etching methods using instruments capable of generating diverse plasma densities, such as dry etching in reactive ion etching (RIE) and inductively coupled plasma (ICP), proton exchange-enhanced etching, and wet chemical etching following high-temperature reduction treatment, as well as hybrid dry and wet etching. Ultimately, after employing RIE dry etching combined with wet etching, following a high-temperature reduction treatment, an etching rate of 10 nm/min and pretty 90° sidewall angles were achieved. Furthermore, high etching rates of 79 nm/min with steep sidewall angles of 83° were obtained using ICP dry etching. Additionally, using SiO2 masks, a high etching rate of 108 nm/min and an etching selectivity ratio of 0.86:1 were achieved. Distinct etching conditions yielded diverse yet exceptional results, providing multiple processing paths of etching for the versatile application of LNO. Full article
(This article belongs to the Section Nanoelectronics, Nanosensors and Devices)
Show Figures

Figure 1

Figure 1
<p>SEM images of (<b>a</b>) Cr masks, (<b>b</b>) Ni masks, (<b>c</b>) SiO<sub>2</sub> masks.</p>
Full article ">Figure 2
<p>SEM images after RIE dry etching of (<b>a</b>) sample 1 with Cr masks and (<b>b</b>) sample 2 with Ni masks.</p>
Full article ">Figure 3
<p>(<b>a</b>) SEM image of sample 3 after dry etching in RIE following proton exchange. (<b>b</b>) XRD patterns of LNO before and after proton exchange.</p>
Full article ">Figure 4
<p>SEM images for (<b>a</b>) +Z direction and (<b>b</b>) −Z direction of sample 4 without the Al thin-film coverage, after annealing in a reducing atmosphere and wet etching with SC-1 solution.</p>
Full article ">Figure 5
<p>(<b>a</b>) SEM image of sample 5 without the Al thin-film coverage, after annealing in a reducing atmosphere and dry etching with RIE. (<b>b</b>) SEM image of sample 6, after dry etching with RIE and annealing in a reducing atmosphere without the Al thin film coverage, followed by wet etching with SC-1 solution. (<b>c</b>) SEM image of sample 7, after dry etching with RIE and annealing in a reducing atmosphere with the Al thin-film coverage, followed by wet etching with SC-1 solution.</p>
Full article ">Figure 6
<p>SEM images after ICP dry etching of (<b>a</b>) sample 8 with Cr masks, (<b>b</b>) sample 9 with Ni masks and (<b>c</b>) sample 10 with SiO<sub>2</sub> masks.</p>
Full article ">
Back to TopTop