[go: up one dir, main page]

Academia.eduAcademia.edu
paper cover icon
A Deep Learning Framework to Predict Routability for FPGA Circuit Placement

A Deep Learning Framework to Predict Routability for FPGA Circuit Placement

2019 29th International Conference on Field Programmable Logic and Applications (FPL)
Abstract
The ability to accurately and efficiently estimate the routability of a circuit based on its placement is one of the most challenging and difficult tasks in the Field Programmable Gate Array (FPGA) flow. In this paper, we present a novel, deep-learning framework based on a Convolutional Neural Network model for predicting the routability of a placement. We also incorporate the deep-learning model into a state-of-the-art placement tool, and show how the model can be used to (1) avoid costly, but futile, place-and-route iterations, and (2) improve the placer's ability to produce routable placements for hard-to-route circuits using feedback based on routability estimates generated by the proposed model. The model is trained and evaluated using over 26K placement images derived from 372 benchmarks supplied by Xilinx Inc. Experimental results show that the proposed framework achieves a routability prediction accuracy of 97%, while exhibiting runtimes of only a few milliseconds.

Gary Grewal hasn't uploaded this paper.

Let Gary know you want this paper to be uploaded.

Ask for this paper to be uploaded.