US20040245644A1 - Low K film application for interlevel dielectric and method of cleaning etched features - Google Patents
Low K film application for interlevel dielectric and method of cleaning etched features Download PDFInfo
- Publication number
- US20040245644A1 US20040245644A1 US10/870,216 US87021604A US2004245644A1 US 20040245644 A1 US20040245644 A1 US 20040245644A1 US 87021604 A US87021604 A US 87021604A US 2004245644 A1 US2004245644 A1 US 2004245644A1
- Authority
- US
- United States
- Prior art keywords
- opening
- dielectric layer
- antireflective coating
- cleaned
- low
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 238000004140 cleaning Methods 0.000 title abstract description 41
- 238000000034 method Methods 0.000 title abstract description 37
- 239000006117 anti-reflective coating Substances 0.000 claims abstract description 42
- IXYXXQNFKSEXJM-UHFFFAOYSA-N n,n-dimethylmethanamine;hydron;fluoride Chemical compound F.CN(C)C IXYXXQNFKSEXJM-UHFFFAOYSA-N 0.000 claims abstract description 35
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 17
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 17
- 239000002861 polymer material Substances 0.000 claims abstract description 12
- 239000000463 material Substances 0.000 claims description 40
- 239000004065 semiconductor Substances 0.000 claims description 39
- 239000012459 cleaning agent Substances 0.000 claims description 18
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 15
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 14
- 229910052710 silicon Inorganic materials 0.000 claims description 14
- 239000010703 silicon Substances 0.000 claims description 14
- 239000000758 substrate Substances 0.000 claims description 10
- 229910052757 nitrogen Inorganic materials 0.000 claims description 7
- 230000009977 dual effect Effects 0.000 claims description 5
- 239000004020 conductor Substances 0.000 claims description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 claims 5
- 229920000620 organic polymer Polymers 0.000 claims 3
- 239000010410 layer Substances 0.000 abstract description 106
- 239000000203 mixture Substances 0.000 abstract description 45
- 229920000642 polymer Polymers 0.000 abstract description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 abstract description 11
- 229910052814 silicon oxide Inorganic materials 0.000 abstract description 11
- 238000005530 etching Methods 0.000 abstract description 10
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 abstract description 6
- 230000015572 biosynthetic process Effects 0.000 abstract description 5
- 229910052751 metal Inorganic materials 0.000 description 29
- 239000002184 metal Substances 0.000 description 29
- 235000012431 wafers Nutrition 0.000 description 26
- BJAARRARQJZURR-UHFFFAOYSA-N trimethylazanium;hydroxide Chemical compound O.CN(C)C BJAARRARQJZURR-UHFFFAOYSA-N 0.000 description 15
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 14
- 229920002120 photoresistant polymer Polymers 0.000 description 14
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 11
- 239000012634 fragment Substances 0.000 description 10
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 8
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 5
- 238000005755 formation reaction Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 239000001272 nitrous oxide Substances 0.000 description 4
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 229910020781 SixOy Inorganic materials 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000000429 assembly Methods 0.000 description 2
- 230000000712 assembly Effects 0.000 description 2
- -1 carbon-substituted silane Chemical class 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- MOVBJUGHBJJKOW-UHFFFAOYSA-N methyl 2-amino-5-methoxybenzoate Chemical compound COC(=O)C1=CC(OC)=CC=C1N MOVBJUGHBJJKOW-UHFFFAOYSA-N 0.000 description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 241000252506 Characiformes Species 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 239000003849 aromatic solvent Substances 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000006880 cross-coupling reaction Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000012774 insulation material Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229920003986 novolac Polymers 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229920003051 synthetic elastomer Polymers 0.000 description 1
- 239000005061 synthetic rubber Substances 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000008096 xylene Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31604—Deposition from a gas or vapour
- H01L21/31633—Deposition of carbon doped silicon oxide, e.g. SiOC
Definitions
- the present invention relates generally to semiconductor device fabrication, and more particularly to methods of removing post-etch polymer and dielectric antireflective coatings without substantially etching the underlying dielectric layer, and solutions used in such methods.
- dielectric materials such as silicon oxide (SiO 2 ) have been conventionally used to electrically separate conductive elements of the integrated circuit structure.
- SiO 2 silicon oxide
- the increasing density of integrated circuits has resulted in unneeded capacitance between metal lines in an integrated circuit which slows circuit speed and can cause cross-coupling between adjacent conductive elements.
- insulation materials having lower dielectric constants (k values) than conventional silicon oxide (SiO 2 ) have been described.
- One such class of material is a carbon doped silicon oxide material wherein at least a portion of the oxygen atoms bonded to the silicon atoms are replaced by one or more organic groups, for example, an alkyl group such as a methyl (CH 3 ) group.
- Such low k carbon doped silicon oxide dielectric materials have dielectric constants varying from about 2.5 to about 3.5, and lowers the capacitance between conductive elements separated by such dielectric materials.
- a photolithographic technique In connecting overlying layers of metal lines separated by a carbon-doped SiO 2 interlayer dielectric (ILD) layer, a photolithographic technique is used that typically employs a dielectric antireflective coating (DARC) layer and an overlying photoresist layer.
- DARC dielectric antireflective coating
- High aspect ratio features such as vias/trenches that are etched through the ILD layer to an underlying metal line are subsequently cleaned to remove post-etch polymer and the DARC layer before depositing the metal fill.
- Current cleaning compositions etch a portion of the ILD layer during the cleaning step, which can have a negative impact on and significantly alter the critical dimensions of the etched feature.
- the present invention provides methods of selectively removing dielectric antireflective coatings (DARC) without substantially etching the underlying dielectric layer, for example, in the formation of conductive contacts in a semiconductor structure.
- the invention further provides compositions for the selective removal of post-etch polymer and a DARC layer. The method and composition help prevent degradation of the exposed surfaces of a low k carbon doped silicon oxide dielectric material during removal of post-etch polymer and antireflective coating after formation of vias or contact openings in the dielectric material.
- the invention provides a method of cleaning a wafer surface.
- the method comprises contacting a wafer substrate having overlying layers of a carbon-doped low k dielectric layer, a dielectric antireflective coating layer, and post-etch polymer material, with a cleaning solution to selectively remove the antireflective coating layer and the post-etch polymer, with substantially no etching of the low k dielectric layer.
- the etch rate ratio of the DARC layer to the low k dielectric layer is greater than 5:1, preferably greater than 10:1.
- the cleaning composition comprises an effective amount of trimethylammonium fluoride (TMAF) to selective etch the DARC layer and post-etch polymer material.
- the composition comprises effective amounts of TMAF and hydrogen fluoride (HF).
- effective amounts of TMAF and trimethylammonium hydroxide (TMAH) are combined to form the cleaning composition.
- the invention provides a method of forming a conductive plug (via plug) and/or interconnect or contact.
- the method includes providing a wafer comprising a substrate with an active area such as a metal line and overlying layers of low k dielectric layer, a dielectric antireflective coating (DARC) layer, and a photoresist layer; forming a opening through the low k dielectric layer to the active area on the substrate; and contacting the wafer with a cleaning solution to selectively remove the dielectric antireflective coating layer and post-etch polymer material with substantially no etching of the low k dielectric layer.
- DARC dielectric antireflective coating
- a conductive metal can then be deposited to fill the opening (and/or trench) to form a conductive plug and a metal line (interconnect, contact).
- the method can be used to form single or dual damascene interconnects and via plugs in the manufacture of integrated circuits.
- the invention provides a cleaning composition comprising one or more cleaning agents in amounts effective to selectively remove a dielectric antireflective coating (DARC) layer overlying a carbon-doped low k dielectric layer at an etch rate of the DARC layer to the low k dielectric layer that is greater than the etch rate ratio of the DARC material to TEOS.
- the cleaning composition is formulated such that contact of the cleaning composition with a carbon-doped low k dielectric blanket layer for a time period of up to about 15 minutes results in no measurable removal of a carbon-doped low k dielectric layer.
- the cleaning composition comprises about 10 to about 40 wt % TMAF and, optionally, about 0 to about 10 wt % HF, or about 0 to about 25 wt % TMAH.
- the cleaning composition can have a pH over a range of about 0.3.5 to about 14.
- FIG. 1 is a diagrammatic cross-sectional view of a semiconductor wafer fragment at a preliminary step of a processing sequence.
- FIGS. 2-6 are views of the wafer fragment of FIG. 1 at subsequent and sequential processing steps, showing fabrication of a via plug and metal line according to an embodiment of the method of the invention.
- FIGS. 7-10 depict another embodiment of the method of the invention in the formation of a via plug, showing steps in the subsequent and sequential processing of the wafer fragment of FIGS. 1-2.
- the terms “semiconductive wafer fragment” or “wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
- substrate refers to any supporting structure including, but not limited to, the semiconductive wafer fragments or wafers described above.
- FIGS. 1-6 An embodiment of a method of the present invention is described with reference to FIGS. 1-6. As shown, a dual damascene process is used to form a via and interconnect associated with an active area of a semiconductor circuit. It is understood that the method of the invention can be used in a single damascene process or other process to form a via plug or metal line.
- the via plug/metal line is illustrated and will be described as being coupled to a conductive area 16 , but can be used wherever required within the structure of a semiconductor circuit.
- a wafer fragment 10 is shown at a preliminary processing step.
- the wafer fragment 10 in progress can comprise a semiconductor wafer substrate or the wafer along with various process layers formed thereon, including one or more semiconductor layers or other formations, and active or operable portions of semiconductor devices.
- the wafer fragment 10 is shown as comprising a substrate 12 , a conductive (active) area 14 , an overlying interlayer dielectric (ILD) layer 16 , and an overlying dielectric antireflective coating (DARC) layer 18 .
- An exemplary substrate 12 is monocrystalline silicon.
- the conductive area 14 can comprise a conductor or semiconductor material, for example, a doped silicon region such as a source/drain region, or an underlying conductive runner or wiring layer, as shown in the illustrated example.
- the wiring layer can comprise, for example copper or aluminum, or other conductive metal depending upon the function and desired conductivity of the circuit element.
- the interlayer dielectric (ILD) layer 16 comprises a low k oxide material formed over the conductive area 14 , typically to a thickness of about 2,000 to about 10,000 angstroms.
- the ILD layer 16 comprises a modified silicon oxide (SiO 2 ) insulation layer that can be formed using a carbon-substituted silane precursor such as trimethylsilane (TMS).
- TMS trimethylsilane
- the ILD layer 16 preferably has a dielectric constant of about 2.5 to about 3.5.
- An exemplary process for forming a carbon doped low k silicon oxide ILD layer 16 comprises flowing into a chemical vapor deposition (CVD) chamber, about 60 to about 1000 sccm TMS, with an oxygen source such N 2 O at about 200 to about 1000 sccm, or O 2 at about 50 to about 500 sccm, combined with helium (He) to dilute the gases at a flow rate of about 200 to about 1,000 sccm or higher, preferably with plasma enhancement.
- CVD chemical vapor deposition
- an oxygen source such N 2 O at about 200 to about 1000 sccm, or O 2 at about 50 to about 500 sccm
- He helium
- about 60 to about 150 sccm TMS, about 300 to about 500 sccm N 2 O, and about 300 to 500 sccm He are flowed into the deposition chamber.
- sccm TMS with about 80 to about 150 sccm O 2 are flowed into the reaction chamber.
- a standard CVD chamber can be used, such as a DxZ chamber (Applied Materials).
- Preferred processing conditions include a temperature of about 300° C. to about 450° C., a pressure of about 3 Torr to about 7 Torr, and an rf power of about 200 W to about 800 W.
- the dielectric antireflective coating (DARC) layer 18 is deposited over the low k carbon doped ILD layer 16 to a thickness to provide anti-reflective properties, typically about 150 to about 650 angstroms or more.
- the DARC layer 18 aids in preventing undesirable light reflection during a step of “setting” a photoresist layer, and also prevents profile distortion.
- the DARC layer 18 reduces reflected radiation reaching an overlying layer of photoresist to less than about 10% or as low as less than 1%, depending on the process sensitivity of incident radiation at the DARC layer 18 .
- the DARC layer comprises a silicon-rich oxide or a silicon-rich oxynitride.
- DARC films include silicon, oxygen and nitrogen DARC materials disclosed, for example, in U.S. Pat. No. 6,225,671 (Yin), U.S. Pat. No. 6,268,282 (Sandhu et al.), and U.S. Pat. No. 5,698,352 (Ogawa et al.).
- the DARC layer 18 can be deposited by known processes, such as CVD or preferably by plasma-enhanced CVD (PECVD) including, for example, electron cyclotron resonance (ECR) PECVD, and bias ECR PECVD processes.
- PECVD plasma-enhanced CVD
- ECR electron cyclotron resonance
- Exemplary conditions for depositing the DARC layer 18 include flowing a silicon-containing precursor such as silane (SiH 4 ) at a rate of about 40 to about 300 sccm, preferably about 60 to about 225 sccm, preferably about 80 sccm; an oxygen source gas such as nitrous oxide (N 2 O) or oxygen (O 2 ) at a rate of about 80 to about 600 sccm, preferably about 95 to about 550 sccm, preferably about 80 sccm; with argon, helium or other inert gas at a rate of about 1300 to about 2500 sccm, preferably about 2200 sccm, depending on the photo requirements of the DARC film.
- a silicon-containing precursor such as silane (SiH 4 ) at a rate of about 40 to about 300 sccm, preferably about 60 to about 225 sccm, preferably about 80 sccm
- an oxygen source gas such as nitro
- the gases can be flowed into a plasma-enhanced CVD chamber at a temperature of about 400° C., under a pressure of about 4 Torr to about 6.5 Torr, and an rf power to the chamber of about 50 to about 200 watts, preferably about 100 watts.
- a photoresist layer 20 is formed over the DARC layer 18 by known techniques, for example, a spin-on process.
- the photoresist layer 20 can comprise either a positive or negative photoresist.
- Exemplary photoresists comprise an organic polymeric material, and include those comprising a novolac resin, a diazonaphthaquinone, and a solvent (e.g., n-butyl alcohol or xylene), and negative photoresist materials such as those comprising a cyclized synthetic rubber resin, bis-arylazide, and an aromatic solvent.
- the ILD layer 16 and the DARC layer 18 can then be patterned and etched using a known two-tier etch process, typical when forming damascene contacts, to form dual inlaid openings that include a contact opening or via 22 and an interconnect channel or trench 24 .
- Etching of the ILD and DARC layers can be performed using known techniques, for example, a plasma etch using one or more of Cl 2 , HBr, CF 4 , CH 2 F 2 , and helium and NF 3 .
- a trench or groove 24 is etched into the ILD layer 16 , and then the contact opening 22 is etched to extend to the underlying conductive (active) region 14 in the substrate.
- the trench 24 forms a channel from the contact opening 22 to other circuit elements (not shown).
- the contact opening 22 has a width less than about 0.25 ⁇ m, more preferably less than about 0.20 ⁇ m, resulting in an aspect ratio greater than about 0.5, preferably greater than about 8.
- the photoresist is removed through an oxygen plasma ashing step, a wet piranha (H 2 SO 4 /H 2 O 2 mixture) step, or a combination of both.
- Post-etch cleaning (arrows 26 ) is performed using a single step wet etch to selectively remove the DARC layer 1 - 8 and any remaining post-etch polymer 26 .
- Post-etch polymer 26 generally comprises the polymer residue by-products remaining after the etch and photoresist strip.
- the wet etch cleaning composition comprises relative amounts of one or more cleaning agents to selectively etch the DARC layer 18 at a desired rate without substantially etching the ILD layer 16 .
- the wet etch composition can have a pH over a range of about 3.5 to about 14, and is preferably about pH 6.5.
- the cleaning composition preferably comprises tetramethylammonium fluoride (TMAF), a mixture of TMAF and hydrogen fluoride (HF), or a mixture of TMAF and trimethylammonium hydroxide (TMAH).
- the cleaning composition comprises an effective amount of tetramethylammonium fluoride (TMAF) to selectively remove the DARC layer 18 and post-etch polymer 26 relative to the low k dielectric layer 16 .
- TMAF tetramethylammonium fluoride
- the cleaning composition comprises about 10 to about 40 wt % TMAF.
- the TMAF solution can be prepared by known methods in the art.
- a TMAF cleaning composition can be prepared by combining a standard aqueous (49 wt %) HF solution with a standard aqueous (25 wt %) TMAH solution in an effective volume ratio concentration such that the resultant composition preferably comprises about 10 to about 40 wt % TMAF.
- the cleaning composition can comprise an effective amount of TMAF combined with an amount of hydrogen fluoride (HF), to selectively remove the DARC layer 18 and post-etch polymer material 26 .
- the cleaning composition comprises about 10 to about 40 wt % TMAF and up to about 10 wt % HF.
- the TMAF/HF cleaning composition can be prepared, for example, by combining an aqueous TMAF solution with about up to about 10 wt % of a standard aqueous (49 wt %) HF solution.
- the cleaning composition can also be prepared by combining TMAH (25 wt % solution) with an excess amount of HF (49 wt % solution) to form a TMAF and HF cleaning composition.
- TMAH 25 wt % solution
- HF 49 wt % solution
- An example and preferred composition comprises a volume ratio concentration of TMAH (25 wt %): HF (49 wt %) of about 4:1 to about 11:1, preferably about a 9:1 volume ratio.
- the cleaning composition is formulated with effective amounts of TMAF and TMAH cleaning agents to selectively remove the DARC layer 18 and post-etch polymer material 26 .
- the cleaning composition comprises about 10 to about 40 wt % TMAF and up to about 25 wt % TMAH.
- the TMAF/TMAH cleaning composition can be prepared by combining a standard aqueous (25 wt %) TMAH solution with an aqueous TMAF solution.
- the cleaning composition comprises amounts of the cleaning agents to provide an etch selectivity ratio of the DARC layer to the low k ILD layer (DARC: low k ILD) that is greater than the DARC:TEOS etch rate ratio of the particular DARC material that is utilized, to limit etching of the low k ILD layer, preferably to about zero (0) to less than 50 angstroms.
- DARC: low k ILD etch selectivity ratio of the DARC layer to the low k ILD layer
- TEOS etch rate ratio of the particular DARC material that is utilized to limit etching of the low k ILD layer, preferably to about zero (0) to less than 50 angstroms.
- the etch rates of various DARC materials are shown in Table 1 of the Example.
- the etch rate ratio of the DARC: low k ILD layer is greater than 2:1, preferably greater than 5:1, preferably about 9:1 to about 1:1 or greater, and more preferably about 100:1 to about 1,000:1 or greater.
- the cleaning composition provides effective and easy removal of the DARC layer 18 and post-etch polymer 26 while controlling the etch rate of other wafer regions such that essentially no etching of the ILD layer 16 occurs during the cleaning step.
- the cleaning composition can be applied to the wafer in various ways.
- the cleaning composition can be sprayed onto the wafer, or the wafer can be dipped or immersed-into a bath of the cleaning composition, among others.
- the cleaning composition can be used at a temperature of about 5 to about 65° C., preferably about 20 to about 50° C.
- the length of the contact of the cleaning composition with the wafer surface generally depends on the thickness and the character of the DARC layer.
- a 6-minute dip using a 9:1 volume ratio of TMAH (25 wt %): HF (49 wt %) can be used to remove about 600 angstroms of a DARC film, with the wet etch rate for the low k ILD layer being about zero (0).
- the foregoing composition typically removes about 200 angstroms of a TEOS deposited layer, which causes CD loss of features etched in a TEOS ILD layer.
- the contact time of the composition generally ranges from about 1 to about 15 minutes.
- a conductive metal layer 30 can then be deposited to fill the trench 24 and the contact opening 22 , as shown in FIG. 5.
- conductive metals include aluminum, copper, and tungsten.
- the conductive metal 30 can be deposited by conventional methods, such as physical vapor deposition (PVD) (sputtering) or CVD.
- PVD physical vapor deposition
- CVD chemical mechanical planarization
- the metal layer 36 can be subsequently planarized by chemical mechanical planarization (CMP) or etched back so that the metal remains isolated in paths within the trench 24 and opening 22 , resulting in the metal line (interconnect or contact) 32 , conducting plug 34 , and metal layer 36 , as depicted in FIG. 6.
- a single opening or via can be etched through the ILD layer, cleaned with the cleaning composition, and filled with a conductive metal to form a via plug, as depicted in FIGS. 7-10.
- the wafer fragment shown in FIG. 2, having a low k dielectric (ILD) layer 16 ′, DARC layer 18 ′, and overlying photoresist layer 20 ′ can be patterned and etched to form a single opening or via 22 ′, as illustrated in FIG. 7.
- a post-etch cleaning (arrows 26 ′) can then be performed using the cleaning composition of the invention to selectively etch the DARC layer 18 ′ and any post-etch polymer 26 ′, with little or no etching of the low k ILD layer 16 ′, preferably removing less than 50 angstroms of the ILD layer.
- a conductive metal layer 30 ′ can be deposited to fill the opening 22 ′, as shown in FIG. 9.
- the metal layer 36 ′ can be subsequently planarized by CMP or etched back so that the metal remains isolated in the contact opening 22 ′, resulting in the conducting plug 34 ′, as shown in FIG. 10.
- Wafers were provided with various oxide film layers and DARC film layers, as shown in Table 1 below.
- the wafers were immersed for 6 minutes in a bath containing a 9:1 volume ratio TMAH:HF solution (25 wt % TMAH solution; 49 wt % HF solution).
- the pH of the solution was 6.5, and the bath temperature was 21.5° C.
- TABLE 1 Thickness ⁇ 1 Film type (angstroms) Thermal oxide 33 Rich BPSG, annealed 74 TEOS, as deposited 223 320 DARC 330 I-line DARC 454 DUV DARC 740 HER DARC >1006 Fuse DARC 547 Low k film 0
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Methods of selectively removing post-etch polymer material and dielectric antireflective coatings (DARC) without substantially etching an underlying carbon-doped low k dielectric layer, and compositions for the selective removal of a DARC layer and post-etch polymer material are provided. A composition comprising trimethylammonium fluoride is used to selectively etch a dielectric antireflective coating layer overlying a low k dielectric layer at an etch rate of the antireflective coating layer to the low k dielectric layer that is greater than the etch rate of the antireflective coating to a TEOS layer. The method and composition are useful, for example, in the formation of high aspect ratio openings in low k (carbon doped) silicon oxide dielectric layers and maintaining the integrity of the dimensions of the formed openings during a cleaning step to remove a post-etch polymer and antireflective coating.
Description
- The present invention relates generally to semiconductor device fabrication, and more particularly to methods of removing post-etch polymer and dielectric antireflective coatings without substantially etching the underlying dielectric layer, and solutions used in such methods.
- The continuing trend in the semiconductor industry toward densification of circuit devices has significantly improved performance of electronic devices that use integrated circuits. In a typical integrated circuit, individual circuit elements are electrically connected together by a metallization process in which layers of metal are deposited and patterned to form metal lines that complete the circuit as designed. Multiple metal layers are often employed. Metal lines within patterned metal layers are insulated by interlevel dielectric layers from undesired electrical contact both with other metal lines, whether in the same or another metal layer, and with other circuit elements.
- In the construction of integrated circuit structures, dielectric materials such as silicon oxide (SiO2) have been conventionally used to electrically separate conductive elements of the integrated circuit structure. The increasing density of integrated circuits has resulted in unneeded capacitance between metal lines in an integrated circuit which slows circuit speed and can cause cross-coupling between adjacent conductive elements.
- The use of insulation materials having lower dielectric constants (k values) than conventional silicon oxide (SiO2) have been described. One such class of material is a carbon doped silicon oxide material wherein at least a portion of the oxygen atoms bonded to the silicon atoms are replaced by one or more organic groups, for example, an alkyl group such as a methyl (CH3) group. Such low k carbon doped silicon oxide dielectric materials have dielectric constants varying from about 2.5 to about 3.5, and lowers the capacitance between conductive elements separated by such dielectric materials.
- In connecting overlying layers of metal lines separated by a carbon-doped SiO2 interlayer dielectric (ILD) layer, a photolithographic technique is used that typically employs a dielectric antireflective coating (DARC) layer and an overlying photoresist layer. High aspect ratio features such as vias/trenches that are etched through the ILD layer to an underlying metal line are subsequently cleaned to remove post-etch polymer and the DARC layer before depositing the metal fill. Current cleaning compositions etch a portion of the ILD layer during the cleaning step, which can have a negative impact on and significantly alter the critical dimensions of the etched feature.
- Therefore, a need exists for a cleaning composition and process that overcomes such problems.
- The present invention provides methods of selectively removing dielectric antireflective coatings (DARC) without substantially etching the underlying dielectric layer, for example, in the formation of conductive contacts in a semiconductor structure. The invention further provides compositions for the selective removal of post-etch polymer and a DARC layer. The method and composition help prevent degradation of the exposed surfaces of a low k carbon doped silicon oxide dielectric material during removal of post-etch polymer and antireflective coating after formation of vias or contact openings in the dielectric material.
- In one aspect, the invention provides a method of cleaning a wafer surface. In one embodiment, the method comprises contacting a wafer substrate having overlying layers of a carbon-doped low k dielectric layer, a dielectric antireflective coating layer, and post-etch polymer material, with a cleaning solution to selectively remove the antireflective coating layer and the post-etch polymer, with substantially no etching of the low k dielectric layer. Preferably, the etch rate ratio of the DARC layer to the low k dielectric layer is greater than 5:1, preferably greater than 10:1. In one embodiment, the cleaning composition comprises an effective amount of trimethylammonium fluoride (TMAF) to selective etch the DARC layer and post-etch polymer material. In another embodiment, the composition comprises effective amounts of TMAF and hydrogen fluoride (HF). In a further embodiment, effective amounts of TMAF and trimethylammonium hydroxide (TMAH) are combined to form the cleaning composition.
- In another aspect, the invention provides a method of forming a conductive plug (via plug) and/or interconnect or contact. In one embodiment, the method includes providing a wafer comprising a substrate with an active area such as a metal line and overlying layers of low k dielectric layer, a dielectric antireflective coating (DARC) layer, and a photoresist layer; forming a opening through the low k dielectric layer to the active area on the substrate; and contacting the wafer with a cleaning solution to selectively remove the dielectric antireflective coating layer and post-etch polymer material with substantially no etching of the low k dielectric layer. A conductive metal can then be deposited to fill the opening (and/or trench) to form a conductive plug and a metal line (interconnect, contact). The method can be used to form single or dual damascene interconnects and via plugs in the manufacture of integrated circuits.
- In yet another aspect, the invention provides a cleaning composition comprising one or more cleaning agents in amounts effective to selectively remove a dielectric antireflective coating (DARC) layer overlying a carbon-doped low k dielectric layer at an etch rate of the DARC layer to the low k dielectric layer that is greater than the etch rate ratio of the DARC material to TEOS. In one embodiment, the cleaning composition is formulated such that contact of the cleaning composition with a carbon-doped low k dielectric blanket layer for a time period of up to about 15 minutes results in no measurable removal of a carbon-doped low k dielectric layer. In other embodiments, the cleaning composition comprises about 10 to about 40 wt % TMAF and, optionally, about 0 to about 10 wt % HF, or about 0 to about 25 wt % TMAH. The cleaning composition can have a pH over a range of about 0.3.5 to about 14.
- Preferred embodiments of the invention are described below with reference to the following accompanying drawings, which are for illustrative purposes only. Throughout the following views, the reference numerals will be used in the drawings, and the same reference numerals will be used throughout the several views and in the description to indicate same or like parts.
- FIG. 1 is a diagrammatic cross-sectional view of a semiconductor wafer fragment at a preliminary step of a processing sequence.
- FIGS. 2-6 are views of the wafer fragment of FIG. 1 at subsequent and sequential processing steps, showing fabrication of a via plug and metal line according to an embodiment of the method of the invention.
- FIGS. 7-10 depict another embodiment of the method of the invention in the formation of a via plug, showing steps in the subsequent and sequential processing of the wafer fragment of FIGS. 1-2.
- The invention will be described generally with reference to the drawings for the purpose of illustrating the present preferred embodiments only and not for purposes of limiting the same. The figures illustrate processing steps for use in the fabrication of semiconductor devices in accordance with the present invention. It should be readily apparent that the processing steps are only a portion of the entire fabrication process.
- In the current application, the terms “semiconductive wafer fragment” or “wafer fragment” or “wafer” will be understood to mean any construction comprising semiconductor material, including but not limited to bulk semiconductive materials such as a semiconductor wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term “substrate” refers to any supporting structure including, but not limited to, the semiconductive wafer fragments or wafers described above.
- An embodiment of a method of the present invention is described with reference to FIGS. 1-6. As shown, a dual damascene process is used to form a via and interconnect associated with an active area of a semiconductor circuit. It is understood that the method of the invention can be used in a single damascene process or other process to form a via plug or metal line.
- The via plug/metal line is illustrated and will be described as being coupled to a
conductive area 16, but can be used wherever required within the structure of a semiconductor circuit. - Referring to FIG. 1, a
wafer fragment 10 is shown at a preliminary processing step. Thewafer fragment 10 in progress can comprise a semiconductor wafer substrate or the wafer along with various process layers formed thereon, including one or more semiconductor layers or other formations, and active or operable portions of semiconductor devices. - The
wafer fragment 10 is shown as comprising asubstrate 12, a conductive (active)area 14, an overlying interlayer dielectric (ILD)layer 16, and an overlying dielectric antireflective coating (DARC)layer 18. Anexemplary substrate 12 is monocrystalline silicon. Theconductive area 14 can comprise a conductor or semiconductor material, for example, a doped silicon region such as a source/drain region, or an underlying conductive runner or wiring layer, as shown in the illustrated example. The wiring layer can comprise, for example copper or aluminum, or other conductive metal depending upon the function and desired conductivity of the circuit element. - The interlayer dielectric (ILD)
layer 16 comprises a low k oxide material formed over theconductive area 14, typically to a thickness of about 2,000 to about 10,000 angstroms. TheILD layer 16 comprises a modified silicon oxide (SiO2) insulation layer that can be formed using a carbon-substituted silane precursor such as trimethylsilane (TMS). The ILDlayer 16 preferably has a dielectric constant of about 2.5 to about 3.5. - An exemplary process for forming a carbon doped low k silicon
oxide ILD layer 16 comprises flowing into a chemical vapor deposition (CVD) chamber, about 60 to about 1000 sccm TMS, with an oxygen source such N2O at about 200 to about 1000 sccm, or O2 at about 50 to about 500 sccm, combined with helium (He) to dilute the gases at a flow rate of about 200 to about 1,000 sccm or higher, preferably with plasma enhancement. In an example and preferred process, about 60 to about 150 sccm TMS, about 300 to about 500 sccm N2O, and about 300 to 500 sccm He are flowed into the deposition chamber. In another example and preferred process, about 500 to about 700 sccm TMS with about 80 to about 150 sccm O2 are flowed into the reaction chamber. A standard CVD chamber can be used, such as a DxZ chamber (Applied Materials). Preferred processing conditions include a temperature of about 300° C. to about 450° C., a pressure of about 3 Torr to about 7 Torr, and an rf power of about 200 W to about 800 W. - The dielectric antireflective coating (DARC)
layer 18 is deposited over the low k carbon dopedILD layer 16 to a thickness to provide anti-reflective properties, typically about 150 to about 650 angstroms or more. In a photolithography process, theDARC layer 18 aids in preventing undesirable light reflection during a step of “setting” a photoresist layer, and also prevents profile distortion. Preferably, theDARC layer 18 reduces reflected radiation reaching an overlying layer of photoresist to less than about 10% or as low as less than 1%, depending on the process sensitivity of incident radiation at theDARC layer 18. - A variety of DARC materials can be used that absorb various wavelengths of radiation. Preferably, the DARC layer comprises a silicon-rich oxide or a silicon-rich oxynitride. The
DARC layer 18 preferably comprises amounts of silicon, oxygen, and nitrogen, optionally with an amount of hydrogen, to form a silicon-rich oxynitride film of SixOyN2:H, preferably where x=0.30 to 0.65, y=0.02 to 0.56, and z is 0.05 to 0.33, or a silicon-rich oxide SixOy:H, preferably where x=0.30 to 0.65, and y=0.25 to 0.60. The relative values of x, y and z and the hydrogen content can be adjusted to alter absorbence characteristics of the deposited layer. Exemplary DARC films include silicon, oxygen and nitrogen DARC materials disclosed, for example, in U.S. Pat. No. 6,225,671 (Yin), U.S. Pat. No. 6,268,282 (Sandhu et al.), and U.S. Pat. No. 5,698,352 (Ogawa et al.). - The
DARC layer 18 can be deposited by known processes, such as CVD or preferably by plasma-enhanced CVD (PECVD) including, for example, electron cyclotron resonance (ECR) PECVD, and bias ECR PECVD processes. Exemplary conditions for depositing theDARC layer 18 include flowing a silicon-containing precursor such as silane (SiH4) at a rate of about 40 to about 300 sccm, preferably about 60 to about 225 sccm, preferably about 80 sccm; an oxygen source gas such as nitrous oxide (N2O) or oxygen (O2) at a rate of about 80 to about 600 sccm, preferably about 95 to about 550 sccm, preferably about 80 sccm; with argon, helium or other inert gas at a rate of about 1300 to about 2500 sccm, preferably about 2200 sccm, depending on the photo requirements of the DARC film. - The gases can be flowed into a plasma-enhanced CVD chamber at a temperature of about 400° C., under a pressure of about 4 Torr to about 6.5 Torr, and an rf power to the chamber of about 50 to about 200 watts, preferably about 100 watts.
- As shown in FIG. 2, a
photoresist layer 20 is formed over theDARC layer 18 by known techniques, for example, a spin-on process. Thephotoresist layer 20 can comprise either a positive or negative photoresist. Exemplary photoresists comprise an organic polymeric material, and include those comprising a novolac resin, a diazonaphthaquinone, and a solvent (e.g., n-butyl alcohol or xylene), and negative photoresist materials such as those comprising a cyclized synthetic rubber resin, bis-arylazide, and an aromatic solvent. - As shown in FIG. 3, the
ILD layer 16 and theDARC layer 18 can then be patterned and etched using a known two-tier etch process, typical when forming damascene contacts, to form dual inlaid openings that include a contact opening or via 22 and an interconnect channel ortrench 24. Etching of the ILD and DARC layers can be performed using known techniques, for example, a plasma etch using one or more of Cl2, HBr, CF4, CH2F2, and helium and NF3. Typically, a trench orgroove 24 is etched into theILD layer 16, and then thecontact opening 22 is etched to extend to the underlying conductive (active)region 14 in the substrate. Thetrench 24 forms a channel from thecontact opening 22 to other circuit elements (not shown). Typically, thecontact opening 22 has a width less than about 0.25 μm, more preferably less than about 0.20 μm, resulting in an aspect ratio greater than about 0.5, preferably greater than about 8. The photoresist is removed through an oxygen plasma ashing step, a wet piranha (H2SO4/H2O2 mixture) step, or a combination of both. - Referring to FIG. 4, once the etch step and photoresist strip step are completed, a post-etch cleaning (arrows26) is performed using a single step wet etch to selectively remove the DARC layer 1-8 and any remaining
post-etch polymer 26.Post-etch polymer 26 generally comprises the polymer residue by-products remaining after the etch and photoresist strip. - According to the invention, the wet etch cleaning composition comprises relative amounts of one or more cleaning agents to selectively etch the
DARC layer 18 at a desired rate without substantially etching theILD layer 16. The wet etch composition can have a pH over a range of about 3.5 to about 14, and is preferably about pH 6.5. The cleaning composition preferably comprises tetramethylammonium fluoride (TMAF), a mixture of TMAF and hydrogen fluoride (HF), or a mixture of TMAF and trimethylammonium hydroxide (TMAH). - In one embodiment, the cleaning composition comprises an effective amount of tetramethylammonium fluoride (TMAF) to selectively remove the
DARC layer 18 andpost-etch polymer 26 relative to the lowk dielectric layer 16. Preferably, the cleaning composition comprises about 10 to about 40 wt % TMAF. The TMAF solution can be prepared by known methods in the art. For example, a TMAF cleaning composition can be prepared by combining a standard aqueous (49 wt %) HF solution with a standard aqueous (25 wt %) TMAH solution in an effective volume ratio concentration such that the resultant composition preferably comprises about 10 to about 40 wt % TMAF. - In another embodiment, the cleaning composition can comprise an effective amount of TMAF combined with an amount of hydrogen fluoride (HF), to selectively remove the
DARC layer 18 andpost-etch polymer material 26. Preferably, the cleaning composition comprises about 10 to about 40 wt % TMAF and up to about 10 wt % HF. The TMAF/HF cleaning composition can be prepared, for example, by combining an aqueous TMAF solution with about up to about 10 wt % of a standard aqueous (49 wt %) HF solution. In another example, the cleaning composition can also be prepared by combining TMAH (25 wt % solution) with an excess amount of HF (49 wt % solution) to form a TMAF and HF cleaning composition. An example and preferred composition comprises a volume ratio concentration of TMAH (25 wt %): HF (49 wt %) of about 4:1 to about 11:1, preferably about a 9:1 volume ratio. - In yet another embodiment, the cleaning composition is formulated with effective amounts of TMAF and TMAH cleaning agents to selectively remove the
DARC layer 18 andpost-etch polymer material 26. Preferably, the cleaning composition comprises about 10 to about 40 wt % TMAF and up to about 25 wt % TMAH. The TMAF/TMAH cleaning composition can be prepared by combining a standard aqueous (25 wt %) TMAH solution with an aqueous TMAF solution. - To avoid undesirable modification of the critical dimensions (CD) of the etched features, the cleaning composition comprises amounts of the cleaning agents to provide an etch selectivity ratio of the DARC layer to the low k ILD layer (DARC: low k ILD) that is greater than the DARC:TEOS etch rate ratio of the particular DARC material that is utilized, to limit etching of the low k ILD layer, preferably to about zero (0) to less than 50 angstroms. The etch rates of various DARC materials are shown in Table 1 of the Example. Preferably, the etch rate ratio of the DARC: low k ILD layer is greater than 2:1, preferably greater than 5:1, preferably about 9:1 to about 1:1 or greater, and more preferably about 100:1 to about 1,000:1 or greater. The cleaning composition provides effective and easy removal of the
DARC layer 18 andpost-etch polymer 26 while controlling the etch rate of other wafer regions such that essentially no etching of theILD layer 16 occurs during the cleaning step. - The cleaning composition can be applied to the wafer in various ways. For example, the cleaning composition can be sprayed onto the wafer, or the wafer can be dipped or immersed-into a bath of the cleaning composition, among others. The cleaning composition can be used at a temperature of about 5 to about 65° C., preferably about 20 to about 50° C. The length of the contact of the cleaning composition with the wafer surface generally depends on the thickness and the character of the DARC layer. For example, a 6-minute dip using a 9:1 volume ratio of TMAH (25 wt %): HF (49 wt %) can be used to remove about 600 angstroms of a DARC film, with the wet etch rate for the low k ILD layer being about zero (0). By comparison, in six (6) minutes, the foregoing composition typically removes about 200 angstroms of a TEOS deposited layer, which causes CD loss of features etched in a TEOS ILD layer. The contact time of the composition generally ranges from about 1 to about 15 minutes.
- After the cleaning step, a
conductive metal layer 30 can then be deposited to fill thetrench 24 and thecontact opening 22, as shown in FIG. 5. Examples of conductive metals include aluminum, copper, and tungsten. Theconductive metal 30 can be deposited by conventional methods, such as physical vapor deposition (PVD) (sputtering) or CVD. Themetal layer 36 can be subsequently planarized by chemical mechanical planarization (CMP) or etched back so that the metal remains isolated in paths within thetrench 24 andopening 22, resulting in the metal line (interconnect or contact) 32, conductingplug 34, andmetal layer 36, as depicted in FIG. 6. - In another embodiment of the method of the invention, a single opening or via can be etched through the ILD layer, cleaned with the cleaning composition, and filled with a conductive metal to form a via plug, as depicted in FIGS. 7-10.
- For example, the wafer fragment shown in FIG. 2, having a low k dielectric (ILD)
layer 16′,DARC layer 18′, andoverlying photoresist layer 20′, can be patterned and etched to form a single opening or via 22′, as illustrated in FIG. 7. Referring to FIG. 8, after the etch step and a photoresist strip step, a post-etch cleaning (arrows 26′) can then be performed using the cleaning composition of the invention to selectively etch theDARC layer 18′ and anypost-etch polymer 26′, with little or no etching of the lowk ILD layer 16′, preferably removing less than 50 angstroms of the ILD layer. - Thereafter, a
conductive metal layer 30′ can be deposited to fill theopening 22′, as shown in FIG. 9. Themetal layer 36′ can be subsequently planarized by CMP or etched back so that the metal remains isolated in thecontact opening 22′, resulting in the conductingplug 34′, as shown in FIG. 10. - Wafers were provided with various oxide film layers and DARC film layers, as shown in Table 1 below. The wafers were immersed for 6 minutes in a bath containing a 9:1 volume ratio TMAH:HF solution (25 wt % TMAH solution; 49 wt % HF solution). The pH of the solution was 6.5, and the bath temperature was 21.5° C.
TABLE 1 Thickness Δ1 Film type (angstroms) Thermal oxide 33 Rich BPSG, annealed 74 TEOS, as deposited 223 320 DARC 330 I-line DARC 454 DUV DARC 740 HER DARC >1006 Fuse DARC 547 Low k film 0 - All DARC films were stripped and piranhaed before being tested. The low k film was not etched at all during a 15 minute dip.
- In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.
Claims (35)
1-112. (canceled)
113. A semiconductor device, comprising:
a contact opening in an insulative layer, wherein the contact opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the contact opening and the insulative layer adjacent the contact opening.
114. The semiconductor device of claim 113 , wherein the contact opening comprises a via and a trench.
115. A semiconductor device, comprising:
a contact opening in an insulative layer, wherein the contact opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the contact opening and the insulative layer adjacent the contact opening; and
a conductive material within the contact opening.
116. A semiconductor device, comprising:
a substrate comprising an active area;
a contact opening in an insulative layer over the substrate to the active area, wherein the contact opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the contact opening and the insulative layer adjacent the contact opening; and
a conductive plug within the contact opening in contact with the active area.
117. A semiconductor device, comprising:
a via plug in a contact opening in an insulative layer, wherein the contact opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the contact opening.
118. A semiconductor device, comprising:
a trench in an insulative layer, wherein the trench has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the trench.
119. A semiconductor device, comprising:
an interconnect within a contact opening in an insulative layer, wherein the contact opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the contact opening.
120. A semiconductor device, comprising:
a contact opening comprising a via and a trench in an insulative layer, wherein the contact opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the contact opening.
121. A semiconductor device, comprising:
a via plug and an interconnect in a contact opening in an insulative layer, wherein the contact opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the contact opening.
122. A semiconductor structure, comprising:
a contact opening etched in an insulative layer and cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material and polymer material from the contact opening and the insulative layer adjacent the contact opening.
123. A semiconductor structure, comprising:
a contact opening etched in an interlevel dielectric layer and cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material and polymer material from the contact opening and the interlevel dielectric layer adjacent the contact opening.
124. A semiconductor device, comprising:
a dual damascene opening in an insulative layer, wherein the opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the opening.
125. A semiconductor device, comprising:
a dual inlaid opening in an insulative layer, wherein the opening has been cleaned with a solution comprising trimethylammonium fluoride to selectively remove dielectric antireflective coating material from the opening.
126. The device of claim 125 , wherein the opening comprises a via and a trench.
127. A semiconductor device, comprising:
an opening in a low k dielectric layer, wherein the opening has been cleaned with a solution comprising an organic fluoride cleaning agent comprising nitrogen to selectively remove a silicon-rich oxide antireflective coating material relative to the low k dielectric layer.
128. A semiconductor device, comprising:
an opening in a low k dielectric layer, wherein the opening has been cleaned with a solution comprising an organic fluoride cleaning agent comprising nitrogen to selectively remove a silicon-rich oxynitride antireflective coating material relative to the low k dielectric layer.
129. A semiconductor device, comprising:
an opening in a low k dielectric layer, wherein the opening has been cleaned with a solution comprising an organic fluoride cleaning agent comprising nitrogen to selectively remove a dielectric antireflective coating and organic polymer material relative to the low k dielectric layer.
130. A semiconductor device, comprising:
an opening in a carbon-based dielectric layer, wherein the opening has been cleaned with a solution comprising an organic fluoride cleaning agent comprising nitrogen to selectively remove a dielectric antireflective coating and organic polymer material relative to the carbon-based dielectric layer.
131. A semiconductor device, comprising:
an opening in a dielectric layer comprising carbon, wherein the opening has been cleaned with a solution comprising an organic fluoride cleaning agent comprising nitrogen to selectively remove a dielectric antireflective coating and organic polymer material relative to the carbon-comprising dielectric layer.
132. A semiconductor device, comprising:
an opening in a low k dielectric layer, wherein the opening has been cleaned with a solution comprising a cleaning agent effective to selectively remove oxynitride antireflective coating material relative to the low k dielectric layer.
133. A semiconductor device, comprising:
an opening in a low k dielectric layer, wherein the opening has been cleaned with a solution comprising a cleaning agent effective to selectively remove silicon-rich oxide antireflective coating material relative to the low k dielectric layer.
134. A semiconductor device, comprising:
an opening in a low k dielectric layer, wherein the opening has been cleaned with a solution comprising a cleaning agent effective to selectively remove silicon-rich oxynitride antireflective coating material relative to the low k dielectric layer.
135. A semiconductor device, comprising:
an opening in a carbon-based low k dielectric layer, wherein the opening has been cleaned with a solution comprising a cleaning agent effective to selectively remove antireflective coating material relative to the carbon-based low k dielectric layer.
136. A semiconductor device, comprising:
an opening in a carbon-based low k dielectric layer, wherein the opening has been cleaned with a solution comprising a cleaning agent effective to selectively remove oxynitride antireflective coating material relative to the carbon-based low k dielectric layer.
137. A semiconductor device, comprising:
an opening in a carbon-based low k dielectric layer, wherein the opening has been cleaned with a solution comprising a cleaning agent effective to selectively remove silicon-rich oxide antireflective coating material relative to the carbon-based low k dielectric layer.
138. A semiconductor device, comprising:
an opening in a carbon-based low k dielectric layer, wherein the opening has been cleaned with a solution comprising a cleaning agent effective to selectively remove silicon-rich oxynitride antireflective coating material relative to the carbon-based low k dielectric layer.
139. A semiconductor device, comprising:
a high aspect ratio feature in a low k dielectric layer, wherein the feature has been cleaned with a solution comprising a cleaning agent effective to selectively remove antireflective coating material relative to the low k dielectric layer.
140. The device of claim 139 , wherein the high aspect ratio feature comprises a via, a trench, or a combination thereof.
141. The device of claim 139 , wherein the high aspect ratio feature has an aspect ratio greater than about 0.5.
142. The device of claim 139 , wherein the high aspect ratio feature has a width dimension less than about 0.25 μm.
143. A semiconductor device, comprising:
an opening in a low k dielectric layer, the opening having a critical dimension, wherein the opening has been cleaned with a solution comprising a cleaning agent effective to selectively remove antireflective coating material relative to the low k dielectric layer.
144. The device of claim 143 , wherein the opening comprises a via, a trench, or a combination thereof.
145. The device of claim 143 , wherein the opening has an aspect ratio greater than about 0.5.
146. The device of claim 143 , wherein the opening has a width dimension less than about 0.25 μm.
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/870,216 US20040245644A1 (en) | 2001-11-30 | 2004-06-17 | Low K film application for interlevel dielectric and method of cleaning etched features |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/998,729 US6573175B1 (en) | 2001-11-30 | 2001-11-30 | Dry low k film application for interlevel dielectric and method of cleaning etched features |
US10/423,210 US6890865B2 (en) | 2001-11-30 | 2003-04-25 | Low k film application for interlevel dielectric and method of cleaning etched features |
US10/870,216 US20040245644A1 (en) | 2001-11-30 | 2004-06-17 | Low K film application for interlevel dielectric and method of cleaning etched features |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/423,210 Division US6890865B2 (en) | 2001-11-30 | 2003-04-25 | Low k film application for interlevel dielectric and method of cleaning etched features |
Publications (1)
Publication Number | Publication Date |
---|---|
US20040245644A1 true US20040245644A1 (en) | 2004-12-09 |
Family
ID=25545512
Family Applications (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/998,729 Expired - Fee Related US6573175B1 (en) | 2001-11-30 | 2001-11-30 | Dry low k film application for interlevel dielectric and method of cleaning etched features |
US10/178,398 Expired - Fee Related US6605863B2 (en) | 2001-11-30 | 2002-06-24 | Low k film application for interlevel dielectric and method of cleaning etched features |
US10/423,210 Expired - Fee Related US6890865B2 (en) | 2001-11-30 | 2003-04-25 | Low k film application for interlevel dielectric and method of cleaning etched features |
US10/462,467 Abandoned US20030205785A1 (en) | 2001-11-30 | 2003-06-16 | Low k film application for interlevel dielectric and method of cleaning etched features |
US10/870,216 Abandoned US20040245644A1 (en) | 2001-11-30 | 2004-06-17 | Low K film application for interlevel dielectric and method of cleaning etched features |
Family Applications Before (4)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/998,729 Expired - Fee Related US6573175B1 (en) | 2001-11-30 | 2001-11-30 | Dry low k film application for interlevel dielectric and method of cleaning etched features |
US10/178,398 Expired - Fee Related US6605863B2 (en) | 2001-11-30 | 2002-06-24 | Low k film application for interlevel dielectric and method of cleaning etched features |
US10/423,210 Expired - Fee Related US6890865B2 (en) | 2001-11-30 | 2003-04-25 | Low k film application for interlevel dielectric and method of cleaning etched features |
US10/462,467 Abandoned US20030205785A1 (en) | 2001-11-30 | 2003-06-16 | Low k film application for interlevel dielectric and method of cleaning etched features |
Country Status (1)
Country | Link |
---|---|
US (5) | US6573175B1 (en) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050143270A1 (en) * | 2003-10-28 | 2005-06-30 | Sachem, Inc. | Cleaning solutions and etchants and methods for using same |
US20070023396A1 (en) * | 2005-07-27 | 2007-02-01 | Micron Technology, Inc. | Etch compositions and methods of processing a substrate |
US20080099427A1 (en) * | 2006-10-25 | 2008-05-01 | Macronix International Co., Ltd. | Fabrication method of electronic device |
Families Citing this family (29)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6824879B2 (en) | 1999-06-10 | 2004-11-30 | Honeywell International Inc. | Spin-on-glass anti-reflective coatings for photolithography |
EP1190277B1 (en) | 1999-06-10 | 2009-10-07 | AlliedSignal Inc. | Semiconductor having spin-on-glass anti-reflective coatings for photolithography |
US7015134B2 (en) * | 1999-11-02 | 2006-03-21 | Advanced Micro Devices, Inc. | Method for reducing anti-reflective coating layer removal during removal of photoresist |
US6660619B1 (en) * | 2001-01-31 | 2003-12-09 | Advanced Micro Devices, Inc. | Dual damascene metal interconnect structure with dielectric studs |
WO2003044600A1 (en) | 2001-11-15 | 2003-05-30 | Honeywell International Inc. | Spin-on anti-reflective coatings for photolithography |
US6573175B1 (en) * | 2001-11-30 | 2003-06-03 | Micron Technology, Inc. | Dry low k film application for interlevel dielectric and method of cleaning etched features |
US6849559B2 (en) * | 2002-04-16 | 2005-02-01 | Tokyo Electron Limited | Method for removing photoresist and etch residues |
US6878641B2 (en) * | 2002-10-01 | 2005-04-12 | Advanced Technology Materials, Inc. | Composition and chemical vapor deposition method for forming organic low k dielectric films |
US8053159B2 (en) | 2003-11-18 | 2011-11-08 | Honeywell International Inc. | Antireflective coatings for via fill and photolithography applications and methods of preparation thereof |
US7157331B2 (en) * | 2004-06-01 | 2007-01-02 | Macronix International Co., Ltd. | Ultraviolet blocking layer |
US7335610B2 (en) * | 2004-07-23 | 2008-02-26 | Macronix International Co., Ltd. | Ultraviolet blocking layer |
US20070054482A1 (en) * | 2004-08-10 | 2007-03-08 | Takahito Nakajima | Semiconductor device fabrication method |
DE102004063264B4 (en) * | 2004-12-29 | 2009-07-30 | Advanced Micro Devices, Inc., Sunnyvale | Method for forming electrical connections in a semiconductor structure |
US7700494B2 (en) * | 2004-12-30 | 2010-04-20 | Tokyo Electron Limited, Inc. | Low-pressure removal of photoresist and etch residue |
US7867779B2 (en) | 2005-02-03 | 2011-01-11 | Air Products And Chemicals, Inc. | System and method comprising same for measurement and/or analysis of particles in gas stream |
US20060183055A1 (en) * | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
KR100685738B1 (en) * | 2005-08-08 | 2007-02-26 | 삼성전자주식회사 | Composition for removing insulating material, method for removing insulating film and method for regenerating substrate |
KR100672731B1 (en) * | 2005-10-04 | 2007-01-24 | 동부일렉트로닉스 주식회사 | Metal wiring formation method of semiconductor device |
US7755197B2 (en) * | 2006-02-10 | 2010-07-13 | Macronix International Co., Ltd. | UV blocking and crack protecting passivation layer |
US7662712B2 (en) * | 2006-02-10 | 2010-02-16 | Macronix International Co., Ltd. | UV blocking and crack protecting passivation layer fabricating method |
US20070293034A1 (en) * | 2006-06-15 | 2007-12-20 | Macronix International Co., Ltd. | Unlanded via process without plasma damage |
CN101495880A (en) * | 2006-07-17 | 2009-07-29 | 斯卡尼迈齐克斯公司 | Thin film transistor array having test circuitry |
US8642246B2 (en) | 2007-02-26 | 2014-02-04 | Honeywell International Inc. | Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof |
US20090071371A1 (en) * | 2007-09-18 | 2009-03-19 | College Of William And Mary | Silicon Oxynitride Coating Compositions |
US8226840B2 (en) * | 2008-05-02 | 2012-07-24 | Micron Technology, Inc. | Methods of removing silicon dioxide |
US8557877B2 (en) | 2009-06-10 | 2013-10-15 | Honeywell International Inc. | Anti-reflective coatings for optically transparent substrates |
US8864898B2 (en) | 2011-05-31 | 2014-10-21 | Honeywell International Inc. | Coating formulations for optical elements |
JP6803842B2 (en) | 2015-04-13 | 2020-12-23 | ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. | Polysiloxane formulations and coatings for optoelectronic applications |
US11211257B2 (en) * | 2018-08-31 | 2021-12-28 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device fabrication with removal of accumulation of material from sidewall |
Citations (44)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5698352A (en) * | 1991-12-30 | 1997-12-16 | Sony Corporation | Semiconductor device containing Si, O and N anti-reflective layer |
US5741626A (en) * | 1996-04-15 | 1998-04-21 | Motorola, Inc. | Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC) |
US5783495A (en) * | 1995-11-13 | 1998-07-21 | Micron Technology, Inc. | Method of wafer cleaning, and system and cleaning solution regarding same |
US5883006A (en) * | 1997-12-12 | 1999-03-16 | Kabushiki Kaisha Toshiba | Method for making a semiconductor device using a flowable oxide film |
US5981401A (en) * | 1998-03-13 | 1999-11-09 | Micron Technology, Inc. | Method for selective etching of anitreflective coatings |
US5990060A (en) * | 1997-02-25 | 1999-11-23 | Tadahiro Ohmi | Cleaning liquid and cleaning method |
US6030541A (en) * | 1998-06-19 | 2000-02-29 | International Business Machines Corporation | Process for defining a pattern using an anti-reflective coating and structure therefor |
US6044851A (en) * | 1996-10-03 | 2000-04-04 | Micron Technology, Inc. | Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication |
US6107686A (en) * | 1996-07-10 | 2000-08-22 | Micron Technology, Inc. | Interlevel dielectric structure |
US6114259A (en) * | 1999-07-27 | 2000-09-05 | Lsi Logic Corporation | Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage |
US6136694A (en) * | 1998-11-06 | 2000-10-24 | United Semiconductor Corp | Method for forming via hole |
US6144083A (en) * | 1998-06-10 | 2000-11-07 | Micron Technology, Inc. | Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby |
US6156674A (en) * | 1998-11-25 | 2000-12-05 | Micron Technology, Inc. | Semiconductor processing methods of forming insulative materials |
US6162738A (en) * | 1998-09-01 | 2000-12-19 | Micron Technology, Inc. | Cleaning compositions for high dielectric structures and methods of using same |
US6174590B1 (en) * | 1997-08-22 | 2001-01-16 | Micron Technology, Inc. | Isolation using an antireflective coating |
US6194315B1 (en) * | 1999-04-16 | 2001-02-27 | Micron Technology, Inc. | Electrochemical cobalt silicide liner for metal contact fills and damascene processes |
US6245682B1 (en) * | 1999-03-11 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | Removal of SiON ARC film after poly photo and etch |
US6268282B1 (en) * | 1998-09-03 | 2001-07-31 | Micron Technology, Inc. | Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks |
US6274292B1 (en) * | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US6281100B1 (en) * | 1998-09-03 | 2001-08-28 | Micron Technology, Inc. | Semiconductor processing methods |
US6291329B1 (en) * | 1999-08-11 | 2001-09-18 | Advanced Micro Devices, Inc. | Protective oxide buffer layer for ARC removal |
US6291363B1 (en) * | 1999-03-01 | 2001-09-18 | Micron Technology, Inc. | Surface treatment of DARC films to reduce defects in subsequent cap layers |
US6294457B1 (en) * | 2001-02-01 | 2001-09-25 | Taiwan Semiconductor Manufacturing Company | Optimized IMD scheme for using organic low-k material as IMD layer |
US6294459B1 (en) * | 1998-09-03 | 2001-09-25 | Micron Technology, Inc. | Anti-reflective coatings and methods for forming and using same |
US6296780B1 (en) * | 1997-12-08 | 2001-10-02 | Applied Materials Inc. | System and method for etching organic anti-reflective coating from a substrate |
US6319835B1 (en) * | 2000-02-25 | 2001-11-20 | Shipley Company, L.L.C. | Stripping method |
US6350700B1 (en) * | 2000-06-28 | 2002-02-26 | Lsi Logic Corporation | Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure |
US6372614B2 (en) * | 1999-04-20 | 2002-04-16 | Advanced Micro Devices, Inc. | Dual damascene method for backened metallization using poly stop layers |
US6380096B2 (en) * | 1998-07-09 | 2002-04-30 | Applied Materials, Inc. | In-situ integrated oxide etch process particularly useful for copper dual damascene |
US6391794B1 (en) * | 2000-12-07 | 2002-05-21 | Micron Technology, Inc. | Composition and method for cleaning residual debris from semiconductor surfaces |
US6391768B1 (en) * | 2000-10-30 | 2002-05-21 | Lsi Logic Corporation | Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure |
US6410437B1 (en) * | 2000-06-30 | 2002-06-25 | Lam Research Corporation | Method for etching dual damascene structures in organosilicate glass |
US6417112B1 (en) * | 1998-07-06 | 2002-07-09 | Ekc Technology, Inc. | Post etch cleaning composition and process for dual damascene system |
US6488509B1 (en) * | 2002-01-23 | 2002-12-03 | Taiwan Semiconductor Manufacturing Company | Plug filling for dual-damascene process |
US6509278B1 (en) * | 1999-09-02 | 2003-01-21 | Micron Technology, Inc. | Method of forming a semiconductor contact that includes selectively removing a Ti-containing layer from the surface |
US6524964B2 (en) * | 2001-06-28 | 2003-02-25 | Hynix Semiconductor Inc. | Method for forming contact by using ArF lithography |
US6554912B2 (en) * | 2000-03-27 | 2003-04-29 | Shipley Company, L.L.C. | Polymer remover |
US6573175B1 (en) * | 2001-11-30 | 2003-06-03 | Micron Technology, Inc. | Dry low k film application for interlevel dielectric and method of cleaning etched features |
US6579792B2 (en) * | 2000-05-25 | 2003-06-17 | Koninklijke Philips Electronics N.V. | Method of manufacturing a semiconductor device |
US6583046B1 (en) * | 2001-07-13 | 2003-06-24 | Advanced Micro Devices, Inc. | Post-treatment of low-k dielectric for prevention of photoresist poisoning |
US6589439B2 (en) * | 1999-10-15 | 2003-07-08 | Arch Specialty Chemicals, Inc. | Composition for selective etching of oxides over metals |
US6607984B1 (en) * | 2000-06-20 | 2003-08-19 | International Business Machines Corporation | Removable inorganic anti-reflection coating process |
US6630407B2 (en) * | 2001-03-30 | 2003-10-07 | Lam Research Corporation | Plasma etching of organic antireflective coating |
US6962879B2 (en) * | 2001-03-30 | 2005-11-08 | Lam Research Corporation | Method of plasma etching silicon nitride |
Family Cites Families (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6335296B1 (en) * | 1998-08-06 | 2002-01-01 | Alliedsignal Inc. | Deposition of nanoporous silica films using a closed cup coater |
-
2001
- 2001-11-30 US US09/998,729 patent/US6573175B1/en not_active Expired - Fee Related
-
2002
- 2002-06-24 US US10/178,398 patent/US6605863B2/en not_active Expired - Fee Related
-
2003
- 2003-04-25 US US10/423,210 patent/US6890865B2/en not_active Expired - Fee Related
- 2003-06-16 US US10/462,467 patent/US20030205785A1/en not_active Abandoned
-
2004
- 2004-06-17 US US10/870,216 patent/US20040245644A1/en not_active Abandoned
Patent Citations (47)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5698352A (en) * | 1991-12-30 | 1997-12-16 | Sony Corporation | Semiconductor device containing Si, O and N anti-reflective layer |
US5783495A (en) * | 1995-11-13 | 1998-07-21 | Micron Technology, Inc. | Method of wafer cleaning, and system and cleaning solution regarding same |
US5741626A (en) * | 1996-04-15 | 1998-04-21 | Motorola, Inc. | Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC) |
US6107686A (en) * | 1996-07-10 | 2000-08-22 | Micron Technology, Inc. | Interlevel dielectric structure |
US6044851A (en) * | 1996-10-03 | 2000-04-04 | Micron Technology, Inc. | Cleaning composition containing tetraalkylammonium salt and use thereof in semiconductor fabrication |
US5990060A (en) * | 1997-02-25 | 1999-11-23 | Tadahiro Ohmi | Cleaning liquid and cleaning method |
US6174590B1 (en) * | 1997-08-22 | 2001-01-16 | Micron Technology, Inc. | Isolation using an antireflective coating |
US6296780B1 (en) * | 1997-12-08 | 2001-10-02 | Applied Materials Inc. | System and method for etching organic anti-reflective coating from a substrate |
US5883006A (en) * | 1997-12-12 | 1999-03-16 | Kabushiki Kaisha Toshiba | Method for making a semiconductor device using a flowable oxide film |
US6274292B1 (en) * | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US6103637A (en) * | 1998-03-13 | 2000-08-15 | Micron Technology, Inc. | Method for selective etching of antireflective coatings |
US5981401A (en) * | 1998-03-13 | 1999-11-09 | Micron Technology, Inc. | Method for selective etching of anitreflective coatings |
US6144083A (en) * | 1998-06-10 | 2000-11-07 | Micron Technology, Inc. | Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby |
US6225671B1 (en) * | 1998-06-10 | 2001-05-01 | Micron Technology, Inc. | Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby |
US6030541A (en) * | 1998-06-19 | 2000-02-29 | International Business Machines Corporation | Process for defining a pattern using an anti-reflective coating and structure therefor |
US6417112B1 (en) * | 1998-07-06 | 2002-07-09 | Ekc Technology, Inc. | Post etch cleaning composition and process for dual damascene system |
US6380096B2 (en) * | 1998-07-09 | 2002-04-30 | Applied Materials, Inc. | In-situ integrated oxide etch process particularly useful for copper dual damascene |
US6162738A (en) * | 1998-09-01 | 2000-12-19 | Micron Technology, Inc. | Cleaning compositions for high dielectric structures and methods of using same |
US6268282B1 (en) * | 1998-09-03 | 2001-07-31 | Micron Technology, Inc. | Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks |
US6281100B1 (en) * | 1998-09-03 | 2001-08-28 | Micron Technology, Inc. | Semiconductor processing methods |
US6294459B1 (en) * | 1998-09-03 | 2001-09-25 | Micron Technology, Inc. | Anti-reflective coatings and methods for forming and using same |
US6136694A (en) * | 1998-11-06 | 2000-10-24 | United Semiconductor Corp | Method for forming via hole |
US6156674A (en) * | 1998-11-25 | 2000-12-05 | Micron Technology, Inc. | Semiconductor processing methods of forming insulative materials |
US6291363B1 (en) * | 1999-03-01 | 2001-09-18 | Micron Technology, Inc. | Surface treatment of DARC films to reduce defects in subsequent cap layers |
US6245682B1 (en) * | 1999-03-11 | 2001-06-12 | Taiwan Semiconductor Manufacturing Company | Removal of SiON ARC film after poly photo and etch |
US6194315B1 (en) * | 1999-04-16 | 2001-02-27 | Micron Technology, Inc. | Electrochemical cobalt silicide liner for metal contact fills and damascene processes |
US6372614B2 (en) * | 1999-04-20 | 2002-04-16 | Advanced Micro Devices, Inc. | Dual damascene method for backened metallization using poly stop layers |
US6114259A (en) * | 1999-07-27 | 2000-09-05 | Lsi Logic Corporation | Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage |
US6291329B1 (en) * | 1999-08-11 | 2001-09-18 | Advanced Micro Devices, Inc. | Protective oxide buffer layer for ARC removal |
US6509278B1 (en) * | 1999-09-02 | 2003-01-21 | Micron Technology, Inc. | Method of forming a semiconductor contact that includes selectively removing a Ti-containing layer from the surface |
US6589439B2 (en) * | 1999-10-15 | 2003-07-08 | Arch Specialty Chemicals, Inc. | Composition for selective etching of oxides over metals |
US6319835B1 (en) * | 2000-02-25 | 2001-11-20 | Shipley Company, L.L.C. | Stripping method |
US6554912B2 (en) * | 2000-03-27 | 2003-04-29 | Shipley Company, L.L.C. | Polymer remover |
US6579792B2 (en) * | 2000-05-25 | 2003-06-17 | Koninklijke Philips Electronics N.V. | Method of manufacturing a semiconductor device |
US6607984B1 (en) * | 2000-06-20 | 2003-08-19 | International Business Machines Corporation | Removable inorganic anti-reflection coating process |
US6350700B1 (en) * | 2000-06-28 | 2002-02-26 | Lsi Logic Corporation | Process for forming trenches and vias in layers of low dielectric constant carbon-doped silicon oxide dielectric material of an integrated circuit structure |
US6410437B1 (en) * | 2000-06-30 | 2002-06-25 | Lam Research Corporation | Method for etching dual damascene structures in organosilicate glass |
US6391768B1 (en) * | 2000-10-30 | 2002-05-21 | Lsi Logic Corporation | Process for CMP removal of excess trench or via filler metal which inhibits formation of concave regions on oxide surface of integrated circuit structure |
US6391794B1 (en) * | 2000-12-07 | 2002-05-21 | Micron Technology, Inc. | Composition and method for cleaning residual debris from semiconductor surfaces |
US6294457B1 (en) * | 2001-02-01 | 2001-09-25 | Taiwan Semiconductor Manufacturing Company | Optimized IMD scheme for using organic low-k material as IMD layer |
US6962879B2 (en) * | 2001-03-30 | 2005-11-08 | Lam Research Corporation | Method of plasma etching silicon nitride |
US6630407B2 (en) * | 2001-03-30 | 2003-10-07 | Lam Research Corporation | Plasma etching of organic antireflective coating |
US6524964B2 (en) * | 2001-06-28 | 2003-02-25 | Hynix Semiconductor Inc. | Method for forming contact by using ArF lithography |
US6583046B1 (en) * | 2001-07-13 | 2003-06-24 | Advanced Micro Devices, Inc. | Post-treatment of low-k dielectric for prevention of photoresist poisoning |
US6605863B2 (en) * | 2001-11-30 | 2003-08-12 | Micron Technology, Inc. | Low k film application for interlevel dielectric and method of cleaning etched features |
US6573175B1 (en) * | 2001-11-30 | 2003-06-03 | Micron Technology, Inc. | Dry low k film application for interlevel dielectric and method of cleaning etched features |
US6488509B1 (en) * | 2002-01-23 | 2002-12-03 | Taiwan Semiconductor Manufacturing Company | Plug filling for dual-damascene process |
Cited By (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050143270A1 (en) * | 2003-10-28 | 2005-06-30 | Sachem, Inc. | Cleaning solutions and etchants and methods for using same |
US7192910B2 (en) | 2003-10-28 | 2007-03-20 | Sachem, Inc. | Cleaning solutions and etchants and methods for using same |
US20070023396A1 (en) * | 2005-07-27 | 2007-02-01 | Micron Technology, Inc. | Etch compositions and methods of processing a substrate |
US20070145009A1 (en) * | 2005-07-27 | 2007-06-28 | Micron Technology, Inc. | Etch Compositions and Methods of Processing a Substrate |
US7491650B2 (en) * | 2005-07-27 | 2009-02-17 | Micron Technology, Inc. | Etch compositions and methods of processing a substrate |
US7629266B2 (en) | 2005-07-27 | 2009-12-08 | Micron Technology, Inc. | Etch compositions and methods of processing a substrate |
US20080099427A1 (en) * | 2006-10-25 | 2008-05-01 | Macronix International Co., Ltd. | Fabrication method of electronic device |
US7938972B2 (en) * | 2006-10-25 | 2011-05-10 | Macronix International Co., Ltd. | Fabrication method of electronic device |
Also Published As
Publication number | Publication date |
---|---|
US20030102532A1 (en) | 2003-06-05 |
US6890865B2 (en) | 2005-05-10 |
US6605863B2 (en) | 2003-08-12 |
US20030205785A1 (en) | 2003-11-06 |
US20030207514A1 (en) | 2003-11-06 |
US6573175B1 (en) | 2003-06-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6890865B2 (en) | Low k film application for interlevel dielectric and method of cleaning etched features | |
US6168726B1 (en) | Etching an oxidized organo-silane film | |
US5888309A (en) | Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma | |
US5219791A (en) | TEOS intermetal dielectric preclean for VIA formation | |
US6844255B2 (en) | Methods of providing an interlevel dielectric layer intermediate different elevation conductive metal layers in the fabrication of integrated circuitry | |
US6291334B1 (en) | Etch stop layer for dual damascene process | |
US7741224B2 (en) | Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics | |
US7176571B2 (en) | Nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure | |
US7125792B2 (en) | Dual damascene structure and method | |
US8450212B2 (en) | Method of reducing critical dimension process bias differences between narrow and wide damascene wires | |
US6936533B2 (en) | Method of fabricating semiconductor devices having low dielectric interlayer insulation layer | |
US7052932B2 (en) | Oxygen doped SiC for Cu barrier and etch stop layer in dual damascene fabrication | |
US6465352B1 (en) | Method for removing dry-etching residue in a semiconductor device fabricating process | |
US7790601B1 (en) | Forming interconnects with air gaps | |
US6913994B2 (en) | Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects | |
US20070161290A1 (en) | Conductor contacts with enhanced reliability | |
US10453700B2 (en) | Low damage low-k dielectric etch | |
US6174800B1 (en) | Via formation in a poly(arylene ether) inter metal dielectric layer | |
US7268071B2 (en) | Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping | |
US20070134917A1 (en) | Partial-via-first dual-damascene process with tri-layer resist approach | |
US7232763B2 (en) | Method of manufacturing semiconductor device | |
US7172964B2 (en) | Method of preventing photoresist poisoning of a low-dielectric-constant insulator | |
US7067441B2 (en) | Damage-free resist removal process for ultra-low-k processing | |
US6693042B1 (en) | Method for etching a dielectric layer formed upon a barrier layer | |
TWI235455B (en) | Method for manufacturing semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |